An All-Digital Direct Digital Synthesizer Fully Implemented on FPGA

Size: px
Start display at page:

Download "An All-Digital Direct Digital Synthesizer Fully Implemented on FPGA"

Transcription

1 1 An All-Digital Direct Digital Synthesizer Fully Implemented on FPGA Hesham Omran, Khaled Shara, and Magdy Ibrahim Electronics and Communications Engineering Department Faculty o Engineering, Ain Shams University, Cairo, Egypt hesham.a.o@gmail.com Abstract In this paper an all-digital pulse put direct digital synthesizer (DDS) is described. The synthesizer is ully implemented on FPGA and does not require any external analog components. Selective over-sampling and tapped delay line are used to reduce jitter and improve spectral perormance. Selective over-sampling relaxes the requirements on the delay line with a minor eect on power consumption and circuit complexity. The delay line is implemented using the FPGA digital clock manager (DCM). The synthesizer generates clock signals with maximum put requency up to clk. It achieves sub-hz resolution and sub- s switching time. Experimental measurements validate system operation with spurious ree dynamic range (SFDR) greater than 40 db. Index Terms Direct digital synthesizer (DDS), ieldprogrammable gate array (FPGA), over-sampling, spurious ree dynamic range (SFDR). D I. INTRODUCTION IRECT digital synthesizer (DDS) is a popular technique or requency synthesis. It oers several advantages, including very ine tuning resolution and very ast switching speed [1]. A conventional DDS is shown in Fig. 1. The phase accumulator accumulates the requency control word (), also known as phase increment (PINC), with every rising edge o clk. The put o the accumulator is a saw-tooth wave, which is the sampled version o the sine wave phase. Every phase value is converted, usually ater truncation, to its corresponding sine wave amplitude. Several techniques or phase to amplitude conversion exist [2], [3]. The simplest one is using a look-up table (LUT). The digital samples rom the LUT are converted to analog voltage by the digital-to-analog converter (DAC). The sampled zero-order hold (ZOH) DAC put contains images o the put tone (multiplied by sinc unction), which requires steep low-pass iltering (LPF), and limits the maximum practical put requency to one-third o clk. Despite its name, DDS contains two analog blocks: DAC and LPF. The LPF is implemented by discrete components. DAC integration is possible, but it is a challenging task, especially with the continuous down-scaling o supply voltage in deep-submicron (DSM) technologies. The LUT, usually implemented as a read-only memory (ROM), and DAC represent two bottlenecks in terms o speed and power consumption. Several architectures were proposed to reduce the ROM size [2] [4]. Another approach is to eliminate the ROM by including the phase-to-amplitude conversion unction in the DAC. This ROM-less non-linear DAC DDS can operate in the GHz range, but with excessive power consumption [5], [6]. Fig. 1. Block diagram o conventional DDS. The accumulator put (N-bit) is truncated to P-bit phase word beore addressing the LUT. Both requency and phase inormation o DDS are completely stored in the phase accumulator. The phase accumulator put is shown in Fig. 2(a). From the igure we can deduce the simple relations that govern the operation o DDS [2] N 2 = T clk T = N clk (1) 2 clk N Δ = (2) 2 Where is the requency o the put signal and is the requency tuning resolution. II. PULSE OUTPUT DDS A. Time Domain Perspective Since DDS is a synchronous system, transitions occur only at rising clock edges. This means that zero-crossings are not accurate in the put o both the accumulator and the LUT. In conventional DDS, accurate zero-crossings are obtained by the LPF, which perorms interpolation in the amplitude domain as shown in Fig. 2(b). Another DDS architecture is the pulse put DDS [2], [7], [8], also known as phase interpolation DDS [9], [10]. This architecture relies on the act that the accumulator carry bit has the same average requency predicted by (1). But since /10/$ IEEE

2 2 transitions occur only at rising clock edges, this signal will suer rom unacceptable jitter as shown in Fig. 2(c). The accurate zero-crossing occurs at the instant when the accumulator reaches 2 N. I we can detect this instant by interpolating the accumulator put, we can trigger a pulse to achieve an accurate jitter-ree clock signal as shown in Fig. 2(d). Thus, interpolation is done in phase domain instead o amplitude domain. Fig. 3. Accumulator at the instant o overlow. maximum cycle-to-cycle jitter is reduced rom T clk to Fig. 2. Waveorms o DDS. (a) Accumulator put. (b) DAC and LPF put. (c) Accumulator carry bit. (d) Carry bit ater phase correction (put o pulse put DDS). Fig. 3 depicts the accumulator at the instant o overlow. I we can advance the carry bit rising edge by t d a jitter-ree clock is obtained. Since it is not possible to advance a signal in time, we can delay it by (T clk t d ) instead, noting that T clk delay applied to all edges has no eect on inal put. From the simple geometry o Fig. 3, we can deduce that t d is given by R t d = T clk (3) Where R is the residue in the accumulator ater overlow. Several techniques exist to achieve this variable delay. In an analog approach, the delay t d is generated by intersecting a ramp with a reerence voltage. This approach introduces more analog blocks than those already existing in conventional DDS, as two DACs and a comparator are required [11], [12]. In a digital approach, a divider and a digital-to-phase, or digital-to-time, converter (DPC or DTC) are used. Equation (3) is used to calculate a delay word (DW) according to the resolution o the DPC. I the DPC is D-bits then the delay word is given by [2] R D DW = ( 1 ). 2 (4) The DPC is usually implemented as a tapped delay line with a delay-locked loop (DLL) to lock the total line delay with the input clock period [8], [10], [13], [14]. In this case the T clk D [15]. The bottleneck o this approach is the maximum 2 number o taps that can be practically implemented. This number is limited by the implementation o the tap-select multiplexer and the unavoidable non-linearity eects in the delay line [8], [10]. Comparing the later approach with a conventional DDS, we can see a one-to-one correspondence. The phase to amplitude conversion (LUT) is replaced by phase to delay word conversion (divider) while the DAC is replaced by a DPC, i.e., analog signal is in phase domain instead o amplitude domain. But a delay line is easier to implement in a digital CMOS technology than a DAC. Other approaches to implement phase interpolation DDS were proposed [16], [17]. B. Frequency Domain Perspective Viewed in time domain we noticed that phase interpolation can reduce the jitter o the carry bit and produce a more accurate clock signal. A requency domain perspective shows that phase interpolation process is equivalent to over-sampling. Using a D-bit DPC is equivalent to using an eective clock rate e = clk 2 D [14]. To illustrate this, we begin with the spectrum o an ideal square wave o requency 31/256 MHz as shown in Fig. 4(a). The spectrum contains the undamental and its odd harmonics. Next, we generate the same requency using a DDS with N = 8, = 31, and clk = 1 MHz. Taking the put rom the MSB o the accumulator, or the carry bit ater T-FF, the square wave will have transitions only at rising edges o clk. This is equivalent to sampling the ideal square wave in Fig. 4(a) with a sampling requency clk. Fig. 4(b) shows that harmonics above clk /2 are olded back and orth in the region 0 clk /2, appearing as spurs, which degrade the SFDR. The spectrum repeats at multiples o clk as in any sampled system (practically multiplied by sinc unction due to ZOH characteristics). Now, we introduce phase interpolation with a 2-bit delay word. This means we have our valid transition instants within each cycle o clk, i.e., the eective

3 3 Magnitude (dbfs) Magnitude (dbfs) Magnitude (dbfs) Frequency (Hz) x sampling requency is now e = clk 4 = 4 MHz. This is conirmed by Fig. 4(c) where the sampling requency is clearly increased to e = 4 MHz and olding happens at e /2 instead o clk /2. Thus, the harmonics olded back as spurs will have smaller magnitudes than case (b), i.e., SFDR is improved. In addition, images appear at multiples o e instead o clk, which relaxes the requirements on LPF. From the above argument, a simple expression to estimate SFDR can be deduced [14]. Let the largest harmonic olded back between the undamental and its 2 nd harmonic be o order k with magnitude 1/k, then e < e k < 2 k = 1 D 1 e 2 clk SFDR = 20log 20log = 20log 1/ k clk SFDR 6D + 20log ( db) (5) Where denotes truncation. Thus each bit in the delay word introduces a 6 db improvement in SFDR. III. SYSTEM DESCRIPTION Fig. 5 shows a simpliied block diagram o the system. An over-sampling clock ( OV ) o 100 MHz is available as input, then it is internally divided by 16 to produce 6.25 MHz system clock ( clk ). Fundamental Harmonics Spurs Frequency (Hz) x Frequency (Hz) x 10 6 Fig. 4. Spectra o square wave (simulation results). (a) Ideal square wave. (b) MSB o accumulator. (c) MSB ater phase correction with a 2-bit delay word. A. Accumulator and Divider A 24-bit accumulator is used. This allows a tuning resolution o Hz as given by (2). The divider is implemented as a simple restoring divider [18]. A nonpipelined version is used to enable aster switching speed. The quotient o the divider is a 6-bit delay word (DW) given by R D DW = 2 (6) Where D = 6. The our most signiicant bits are used with selective over-sampling while the two least signiicant bits select one o the our phases rom the digital clock manager (DCM). B. Phase Interpolation The most trivial way to reduce jitter and increase SFDR o pulse put DDS is to increase its sampling clock. But this means excessive power consumption and tighter timing constraints or all blocks. In addition, to maintain the same requency resolution given by (2), the accumulator width must by increased as well. In selective over-sampling, the DDS is clocked at the normal system clock ( clk ), while an oversampling clock ( OV ) is used selectively to reduce jitter and increase SFDR. This has minor impact on power dissipation and design complexity, while providing signiicant improvement in system perormance. Phase interpolation is done in two stages. The irst stage uses selective over-sampling, where OV = clk 16. This stage relaxes the requirements on the tapped delay line, i.e., with selective over-sampling a 64-tap delay line is required to produce the same jitter/sfdr improvement, which can not be implemented on an FPGA. Instead o using a 16-to-1 multiplexer to select the correct edge o the over-sampling clock [14], a simple 4-bit counter is used. The counter is loaded with DW(5:2) and incremented at every rising edge o OV. When counter reaches ull scale (0xF), a single-period pulse is generated, which is used as a window in the next phase interpolation stage. Pre-loading the counter with DW(5:2) simpliies the design as only a comparator to a constant is implemented. Otherwise, a ull comparator is required, which requires substantially more gates. The counter is loaded and incremented to generate the window pulse within a single period o clk, which allows synthesis o clock requencies up to clk with timing problems. A DCM is used in the second stage. The DCM provides our phases o the over-sampling clock ( OV ) [19] acting as a 4-tap delay line. DW(1:0) is used to select one o the our edges alling within the window pulse rom the irst stage. The put ( ) has a small pulse width (1/ OV ), which can be modiied using T-FF or one shot pulse generator. IV. SIMULATION RESULTS Fig. 6 shows VHDL simulation results or clk = 6.25 MHz. For the purpose o clarity the igure is drawn or an oversampling ratio o 4 instead o 16 and only 4-bit delay word. For the given case, (PINC) = 0xB, which gives = MHz, greater than clk /2. The carry bit cannot be used to generate this requency as it is sampled at clk. The

4 4 Fig. 5. Simpliied block diagram o the system. WE and CE stands or Write Enable and Clock Enable respectively. Fig. 6. VHDL timing simulation or (PINC) = 0xB. (Figure is drawn or N = 4 and 4-bit DW or the purpose o clarity). latency o the system is only 4 clock cycles, which enables very ast requency switching speed. V. EXPERIMENTAL RESULTS A. Implementation Details The system was implemented on Xilinx Virtex-II Pro FPGA (XC2VP30). Device utilization summary is given in Table I. Global clock network is used or ring all the clocks in the system to minimize skew. More optimization can be done to reduce the utilization. The divider can be implemented using a more eicient architecture and the clock division rom OV to clk can be implemented using a simple counter instead o using another DCM. TABLE I DEVICE UTILIZATION (XC2VP30-7FF896) Resource Used Available Utilization Slice Flip Flops 71 27,392 1% Total 4-input LUTs ,392 1% Global Clocks (GCKs) % DCMs % Equivalent Gate Count 17,626 B. Measurement Results Fig. 7(a) compares the put waveorms o the jittery carry bit and the phase interpolated DDS put. Both waveorms are drawn ater T-FF to get 50% duty cycle. Fig. 7(b) illustrates the ast switching speed o the circuit, where a new is supplied at the input o the DDS, and then WE is asserted. is switched rom 2.43 MHz to 5.3 MHz in less than 1 s. In Fig. 8 the spectrum o the carry bit is compared to the spectrum o the DDS put. SFDR is calculated with respect to the highest spur between the undamental and the 2 nd harmonic. For put requency 550 khz the carry bit has SFDR 22 db, whereas DDS put has SFDR 56 db, giving a 34 db improvement. Fig. 9 shows put spectrum or 1.21 MHz and 2.64 MHz, with SFDR 50 and 44 db respectively. Fig. 10 shows that approximate ormula in (5) provides a very good match to measured data. Table II summarizes the perormance o this work. VI. CONCLUSION An all-digital DDS with sub-hz resolution and sub- s switching time has been presented. The DDS is ully implemented on FPGA; it does not need external DAC or delay-line. The design is suitable as a parameterized drop-in

5 5 (a) (a) Fundamental 3 rd harmonic (b) Fig. 7. Time-domain measurements. (a) The carry bit (upper) and DDS put (lower) or = 0x ( = 2.43 MHz). (b) WE (upper) and DDS put (lower) showing requency switching rom = 0x ( = 2.43 MHz) to = 0xD91687 ( = 5.3 MHz). Both carry bit and DDS put are measured ater T-FF. module in FPGAs. With an ASIC implementation, the design can operate at much higher requencies. The perormance can be urther improved using noise shaping techniques. Highest spur ACKNOWLEDGMENT The authors thank the members o OFDM Project team or providing the FPGA kit. REFERENCES [1] Analog Devices, Inc., A Technical Tutorial on Digital Signal Synthesis, Application Note, [2] Jouko Vankka, Digital Synthesizers and Transmitters or Sotware Radio, Springer, [3] J.M.P. Langlois and D. Al-Khalili, Phase to sinusoid amplitude conversion techniques or direct digital requency synthesis, IEE Proc.-Circuits, Devices, and Systems, vol. 151, no. 6, December [4] A. G. M. Strollo, D. De Caro, and N. Petra, A 630 MHz, 76 mw Direct Digital Frequency Synthesizer Using Enhanced ROM Compression Technique, IEEE Journal o Solid-State Circuits, vol. 42, no. 2, February [5] Yu Xueeng, F. F. Dai, J. D. Irwin, and R. C. Jaeger, A 12 GHz 1.9 W Direct Digital Synthesizer MMIC Implemented in 0.18-μm SiGe BiCMOS Technology, IEEE Journal o Solid-State Circuits, vol. 43, no. 6, June [6] Zhihe Zhou and George S. La Rue, A 12-Bit Nonlinear DAC or Direct Digital Frequency Synthesis, IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 55, no. 9, October [7] Bar-Giora Goldberg, Digital Frequency Synthesis Demystiied, LLH Technology Publishing, (b) Fig. 8. Spectrum o (a) carry bit and (b) DDS put or = 0x2D0E56 ( 1.1 MHz). Both carry bit and DDS put are measured ater T-FF. [8] R. Richter and H. -J. Jentschel, A virtual clock enhancement method or DDS using an analog delay line, IEEE Journal o Solid-State Circuits, vol. 36, no. 7, July [9] A. Yamagishi, H. Nosaka, M. Muraguchi, and T. Tsukahara, A phaseinterpolation direct digital synthesizer with an adaptive integrator, IEEE Transactions on Microwave Theory and Techniques, vol. 48, no. 6, June [10] F. Baronti, L. Fanucci, D. Lunardini, R. Roncella, and R. Saletti, A high-resolution DLL-based digital-to-time converter or DDS applications, IEEE International Frequency Control Symposium and PDA Exhibition, [11] J. Nieznanski, An alternative approach to the ROM-less direct digital synthesis, IEEE Journal o Solid-State Circuits, vol. 33, no. 1, January [12] H. Nosaka, Y. Yamaguchi, A. Yamagishi, H. Fukuyama, and M. Muraguchi, A low-power direct digital synthesizer using a seladjusting phase-interpolation technique, IEEE Journal o Solid-State Circuits, vol. 36, no. 8, August 2001.

6 6 60 Analytical Measured 55 SFDR (db) / clk Fig. 10. Comparison o measured data with the approximate ormula in (5). (a) Implementation Clock requency ( clk) No. o interpolation levels (2 D ) 64 Technique Eective clock ( e) Maximum put requency Resolution ( ) Switching speed TABLE II PERFORMANCE SUMMARY FPGA (XC2VP30) 6.25 MHz Selective over-sampling & delay line 400 MHz clk Hz 0.64 μs SFDR up to clk/2 > 40 db (see Fig. 10) (b) Fig. 9. Spectrum o DDS put or (a) = 0x ( 2.43 MHz) and (b) = 0xD91687 ( 5.3 MHz). Both measured ater T-FF. [13] T. Rahkonen and H. Eksyma, A 3-V programmable clock generator with a built-in phase interpolator, Midwest Symposium on Circuits and Systems, [14] A. Heiskanen, A. Mantyniemi, and T. Rahkonen, A 30 MHz DDS clock generator with sub-ns time domain interpolator and -50 dbc spurious level, IEEE International Symposium on Circuits and Systems, [15] A. M. Fahim, Low-power, low-jitter direct digital synthesizer with analog interpolation, Proceedings o the 2004 IEEE International Frequency Control Symposium and Exposition, [16] T. Finateu et al., A 500-MHz Phase-Interpolation Direct Digital Synthesizer, IEEE Asian Solid-State Circuits Conerence, [17] U. Meyer-Base, S. Wol, and F. Taylor, Accumulator Synthesizer with Error-Compensation, IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, vol. 45, no. 7, July [18] J. -P. Deschamps, G. J. A. Bioul, and G. D. Sutter, Synthesis o Arithmetic Circuits: FPGA, ASIC and Embedded Systems, Wiley, [19] Xilinx, Inc., Virtex-II Pro and Virtex-II Pro X FPGA User Guide, UG012 (v4.2), November 2007.

An Optimized Direct Digital Frequency. Synthesizer (DDFS)

An Optimized Direct Digital Frequency. Synthesizer (DDFS) Contemporary Engineering Sciences, Vol. 7, 2014, no. 9, 427-433 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2014.4326 An Optimized Direct Digital Frequency Synthesizer (DDFS) B. Prakash

More information

A new method of spur reduction in phase truncation for DDS

A new method of spur reduction in phase truncation for DDS A new method of spur reduction in phase truncation for DDS Zhou Jianming a) School of Information Science and Technology, Beijing Institute of Technology, Beijing, 100081, China a) zhoujm@bit.edu.cn Abstract:

More information

High Speed Direct Digital Frequency Synthesizer Using a New Phase accumulator

High Speed Direct Digital Frequency Synthesizer Using a New Phase accumulator Australian Journal of Basic and Applied Sciences, 5(11): 393-397, 2011 ISSN 1991-8178 High Speed Direct Digital Frequency Synthesizer Using a New Phase accumulator 1 Salah Hasan Ibrahim, 1 Sawal Hamid

More information

A Novel Low-Power High-Resolution ROM-less DDFS Architecture

A Novel Low-Power High-Resolution ROM-less DDFS Architecture A Novel Low-Power High-Resolution ROM-less DDFS Architecture M. NourEldin M., Ahmed Yahya Abstract- A low-power high-resolution ROM-less Direct Digital frequency synthesizer architecture based on FPGA

More information

Sine Approximation for Direct Digital Frequency Synthesizers and Function Generators

Sine Approximation for Direct Digital Frequency Synthesizers and Function Generators Sine Approximation for Direct Digital Frequency Synthesizers and Function Generators Milan Stork Applied Electronics and Telecommunications, Faculty of Electrical Engineering/RICE University of West Bohemia,

More information

Application Note #5 Direct Digital Synthesis Impact on Function Generator Design

Application Note #5 Direct Digital Synthesis Impact on Function Generator Design Impact on Function Generator Design Introduction Function generators have been around for a long while. Over time, these instruments have accumulated a long list of features. Starting with just a few knobs

More information

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 98 CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 5.1 INTRODUCTION This chapter deals with the design and development of FPGA based PWM generation with the focus on to improve the

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

Gert Veale / Christo Nel Grintek Ewation

Gert Veale / Christo Nel Grintek Ewation Phase noise in RF synthesizers Gert Veale / Christo Nel Grintek Ewation Introduction & Overview Where are RF synthesizers used? What is phase noise? Phase noise eects Classic RF synthesizer architecture

More information

Design & Implementation of an Adaptive Delta Sigma Modulator

Design & Implementation of an Adaptive Delta Sigma Modulator Design & Implementation of an Adaptive Delta Sigma Modulator Shahrukh Athar MS CmpE 7 27-6-8 Project Supervisor: Dr Shahid Masud Presentation Outline Introduction Adaptive Modulator Design Simulation Implementation

More information

SPUR CORRELATION IN AN ARRAY OF DIRECT DIGITAL SYNTHESIZERS

SPUR CORRELATION IN AN ARRAY OF DIRECT DIGITAL SYNTHESIZERS SPUR CORRELATION IN AN ARRAY OF DIRECT DIGITAL SYNTHESIZERS Thomas M. Comberiate, Keir C. Lauritzen, Laura B. Ruppalt, Cesar A. Lugo, and Salvador H. Talisa JHU/Applied Physics Laboratory 11100 Johns Hopkins

More information

f o Fig ECE 6440 Frequency Synthesizers P.E. Allen Frequency Magnitude Spectral impurity Frequency Fig010-03

f o Fig ECE 6440 Frequency Synthesizers P.E. Allen Frequency Magnitude Spectral impurity Frequency Fig010-03 Lecture 010 Introduction to Synthesizers (5/5/03) Page 010-1 LECTURE 010 INTRODUCTION TO FREQUENCY SYNTHESIZERS (References: [1,5,9,10]) What is a Synthesizer? A frequency synthesizer is the means by which

More information

A MATLAB Model of Hybrid Active Filter Based on SVPWM Technique

A MATLAB Model of Hybrid Active Filter Based on SVPWM Technique International Journal o Electrical Engineering. ISSN 0974-2158 olume 5, Number 5 (2012), pp. 557-569 International Research Publication House http://www.irphouse.com A MATLAB Model o Hybrid Active Filter

More information

6.976 High Speed Communication Circuits and Systems Lecture 17 Advanced Frequency Synthesizers

6.976 High Speed Communication Circuits and Systems Lecture 17 Advanced Frequency Synthesizers 6.976 High Speed Communication Circuits and Systems Lecture 17 Advanced Frequency Synthesizers Michael Perrott Massachusetts Institute of Technology Copyright 2003 by Michael H. Perrott Bandwidth Constraints

More information

Computer Architecture Laboratory

Computer Architecture Laboratory 304-487 Computer rchitecture Laboratory ssignment #2: Harmonic Frequency ynthesizer and FK Modulator Introduction In this assignment, you are going to implement two designs in VHDL. The first design involves

More information

Design of Multi-functional High frequency DDS using HDL for Soft IP core

Design of Multi-functional High frequency DDS using HDL for Soft IP core RESEARCH ARTICLE OPEN ACCESS Design of Multi-functional High frequency DDS using HDL for Soft IP core Ms.Khushboo D. Babhulkar1, Mrs.Pradnya J.Suryawanshi2, 1 Priyadarshini college of Engineering, Nagpur,

More information

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions IEEE ICET 26 2 nd International Conference on Emerging Technologies Peshawar, Pakistan 3-4 November 26 Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

FREQUENCY synthesizers based on phase-locked loops

FREQUENCY synthesizers based on phase-locked loops IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 8, AUGUST 2007 725 Reduced Complexity MASH Delta Sigma Modulator Zhipeng Ye, Student Member, IEEE, and Michael Peter Kennedy,

More information

CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER

CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER 59 CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER 4.1 Conventional Method A buck-boost converter circuit is a combination of the buck converter topology and a boost converter

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

Reducing Power Dissipation in Pipelined Accumulators

Reducing Power Dissipation in Pipelined Accumulators Reducing Power issipation in Pipelined Accumulators Gian Carlo Cardarilli (), Alberto Nannarelli (2) and Marco Re () () epartment of Electronic Eng., University of Rome Tor Vergata, Rome, Italy (2) TU

More information

Chapter 6: Introduction to Digital Communication

Chapter 6: Introduction to Digital Communication 93 Chapter 6: Introduction to Digital Communication 6.1 Introduction In the context o this course, digital communications include systems where relatively high-requency analog carriers are modulated y

More information

DESIGN AND DEVELOPMENT OF AN FPGA BASED DDFS SIGNAL GENERATOR WALTER MAINA MUTEITHIA I56/72200/2008

DESIGN AND DEVELOPMENT OF AN FPGA BASED DDFS SIGNAL GENERATOR WALTER MAINA MUTEITHIA I56/72200/2008 DESIGN AND DEVELOPMENT OF AN FPGA BASED DDFS SIGNAL GENERATOR BY WALTER MAINA MUTEITHIA I56/72200/2008 A thesis submitted in partial fulfillment of the requirement for the degree of Master of Science in

More information

Wideband Frequency Synthesizer Implementation using FPGA

Wideband Frequency Synthesizer Implementation using FPGA GRD Journals- Global Research and Development Journal for Engineering Volume 2 Issue 7 June 2017 ISSN: 2455-5703 Wideband Frequency Synthesizer Implementation using FPGA Jasmanpreet Singh Mrs. Monika Aggarwal

More information

ECE5984 Orthogonal Frequency Division Multiplexing and Related Technologies Fall Mohamed Essam Khedr. Channel Estimation

ECE5984 Orthogonal Frequency Division Multiplexing and Related Technologies Fall Mohamed Essam Khedr. Channel Estimation ECE5984 Orthogonal Frequency Division Multiplexing and Related Technologies Fall 2007 Mohamed Essam Khedr Channel Estimation Matlab Assignment # Thursday 4 October 2007 Develop an OFDM system with the

More information

High Speed Communication Circuits and Systems Lecture 10 Mixers

High Speed Communication Circuits and Systems Lecture 10 Mixers High Speed Communication Circuits and Systems Lecture Mixers Michael H. Perrott March 5, 24 Copyright 24 by Michael H. Perrott All rights reserved. Mixer Design or Wireless Systems From Antenna and Bandpass

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL 2.1 Background High performance phase locked-loops (PLL) are widely used in wireless communication systems to provide

More information

Low distortion signal generator based on direct digital synthesis for ADC characterization

Low distortion signal generator based on direct digital synthesis for ADC characterization ACTA IMEKO July 2012, Volume 1, Number 1, 59 64 www.imeko.org Low distortion signal generator based on direct digital synthesis for ADC characterization Walter F. Adad, Ricardo J. Iuzzolino Instituto Nacional

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Digital Dual Mixer Time Difference for Sub-Nanosecond Time Synchronization in Ethernet

Digital Dual Mixer Time Difference for Sub-Nanosecond Time Synchronization in Ethernet Digital Dual Mixer Time Difference for Sub-Nanosecond Time Synchronization in Ethernet Pedro Moreira University College London London, United Kingdom pmoreira@ee.ucl.ac.uk Pablo Alvarez pablo.alvarez@cern.ch

More information

Amplifiers. Department of Computer Science and Engineering

Amplifiers. Department of Computer Science and Engineering Department o Computer Science and Engineering 2--8 Power ampliiers and the use o pulse modulation Switching ampliiers, somewhat incorrectly named digital ampliiers, have been growing in popularity when

More information

ECEN 5014, Spring 2013 Special Topics: Active Microwave Circuits and MMICs Zoya Popovic, University of Colorado, Boulder

ECEN 5014, Spring 2013 Special Topics: Active Microwave Circuits and MMICs Zoya Popovic, University of Colorado, Boulder ECEN 5014, Spring 2013 Special Topics: Active Microwave Circuits and MMICs Zoya Popovic, University o Colorado, Boulder LECTURE 13 PHASE NOISE L13.1. INTRODUCTION The requency stability o an oscillator

More information

Consumers are looking to wireless

Consumers are looking to wireless Phase Noise Eects on OFDM Wireless LAN Perormance This article quantiies the eects o phase noise on bit-error rate and oers guidelines or noise reduction By John R. Pelliccio, Heinz Bachmann and Bruce

More information

Section 1. Fundamentals of DDS Technology

Section 1. Fundamentals of DDS Technology Section 1. Fundamentals of DDS Technology Overview Direct digital synthesis (DDS) is a technique for using digital data processing blocks as a means to generate a frequency- and phase-tunable output signal

More information

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator www.semargroups.org, www.ijsetr.com ISSN 2319-8885 Vol.02,Issue.10, September-2013, Pages:984-988 Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator MISS ANGEL

More information

ACONVENTIONAL direct digital-frequency synthesis

ACONVENTIONAL direct digital-frequency synthesis 1294 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 Direct Digital-Frequency Synthesis by Analog Interpolation Alistair McEwan, Member, IEEE, and Steve Collins,

More information

All Digital Phase-Locked Loops, its Advantages and Performance Limitations

All Digital Phase-Locked Loops, its Advantages and Performance Limitations All Digital Phase-Locked Loops, its Advantages and Perormance Limitations Win Chaivipas, Philips Oh, and Akira Matsuawa Matsuawa Laboratory, Department o Physical Electronics, Tokyo Institute o Technology

More information

Phase Noise and Tuning Speed Optimization of a MHz Hybrid DDS-PLL Synthesizer with milli Hertz Resolution

Phase Noise and Tuning Speed Optimization of a MHz Hybrid DDS-PLL Synthesizer with milli Hertz Resolution Phase Noise and Tuning Speed Optimization of a 5-500 MHz Hybrid DDS-PLL Synthesizer with milli Hertz Resolution BRECHT CLAERHOUT, JAN VANDEWEGE Department of Information Technology (INTEC) University of

More information

Experiment 7: Frequency Modulation and Phase Locked Loops Fall 2009

Experiment 7: Frequency Modulation and Phase Locked Loops Fall 2009 Experiment 7: Frequency Modulation and Phase Locked Loops Fall 2009 Frequency Modulation Normally, we consider a voltage wave orm with a ixed requency o the orm v(t) = V sin(ω c t + θ), (1) where ω c is

More information

SPUR REDUCTION TECHNIQUES IN DIRECT DIGITAL SYNTHESIZERS

SPUR REDUCTION TECHNIQUES IN DIRECT DIGITAL SYNTHESIZERS Published in the Proceedings of the 1993 International Frequency Control Symposium. SPUR REDUCTION TECHNIQUES IN DIRECT DIGITAL SYNTHESIZERS Victor S. Reinhardt Hughes Space and Communications Company

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics B1 - Radio systems architecture» Basic radio systems» Image rejection» Digital and SW radio» Functional units 19/03/2012-1 ATLCE

More information

A FREQUENCY SYNTHESIZER STRUCTURE BASED ON COINCIDENCE MIXER

A FREQUENCY SYNTHESIZER STRUCTURE BASED ON COINCIDENCE MIXER 3 A FREQUENCY SYNTHESIZER STRUCTURE BASED ON COINCIDENCE MIXER Milan STORK University of West Bohemia UWB, P.O. Box 314, 30614 Plzen, Czech Republic stork@kae.zcu.cz Keywords: Coincidence, Frequency mixer,

More information

A COMPACT, AGILE, LOW-PHASE-NOISE FREQUENCY SOURCE WITH AM, FM AND PULSE MODULATION CAPABILITIES

A COMPACT, AGILE, LOW-PHASE-NOISE FREQUENCY SOURCE WITH AM, FM AND PULSE MODULATION CAPABILITIES A COMPACT, AGILE, LOW-PHASE-NOISE FREQUENCY SOURCE WITH AM, FM AND PULSE MODULATION CAPABILITIES Alexander Chenakin Phase Matrix, Inc. 109 Bonaventura Drive San Jose, CA 95134, USA achenakin@phasematrix.com

More information

A 12 bit 125 MHz ADC USING DIRECT INTERPOLATION

A 12 bit 125 MHz ADC USING DIRECT INTERPOLATION A 12 bit 125 MHz ADC USING DIRECT INTERPOLATION Dr R Allan Belcher University of Wales Swansea and Signal Conversion Ltd, 8 Bishops Grove, Swansea SA2 8BE Phone +44 973 553435 Fax +44 870 164 0107 E-Mail:

More information

Lock-In Amplifiers SR510 and SR530 Analog lock-in amplifiers

Lock-In Amplifiers SR510 and SR530 Analog lock-in amplifiers Lock-In Ampliiers SR510 and SR530 Analog lock-in ampliiers SR510/SR530 Lock-In Ampliiers 0.5 Hz to 100 khz requency range Current and voltage inputs Up to 80 db dynamic reserve Tracking band-pass and line

More information

Keysight Technologies Pulsed Antenna Measurements Using PNA Network Analyzers

Keysight Technologies Pulsed Antenna Measurements Using PNA Network Analyzers Keysight Technologies Pulsed Antenna Measurements Using PNA Network Analyzers White Paper Abstract This paper presents advances in the instrumentation techniques that can be used for the measurement and

More information

A 3 TO 30 MHZ HIGH-RESOLUTION SYNTHESIZER CONSISTING OF A DDS, DIVIDE-AND-MIX MODULES, AND A M/N SYNTHESIZER. Richard K. Karlquist

A 3 TO 30 MHZ HIGH-RESOLUTION SYNTHESIZER CONSISTING OF A DDS, DIVIDE-AND-MIX MODULES, AND A M/N SYNTHESIZER. Richard K. Karlquist A 3 TO 30 MHZ HIGH-RESOLUTION SYNTHESIZER CONSISTING OF A DDS, -AND-MIX MODULES, AND A M/N SYNTHESIZER Richard K. Karlquist Hewlett-Packard Laboratories 3500 Deer Creek Rd., MS 26M-3 Palo Alto, CA 94303-1392

More information

Direct Digital Synthesis Primer

Direct Digital Synthesis Primer Direct Digital Synthesis Primer Ken Gentile, Systems Engineer ken.gentile@analog.com David Brandon, Applications Engineer David.Brandon@analog.com Ted Harris, Applications Engineer Ted.Harris@analog.com

More information

ASIC Design and Implementation of a Novel Arbitrary Function Generator Using Orthogonal Functions

ASIC Design and Implementation of a Novel Arbitrary Function Generator Using Orthogonal Functions Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 4, Number 1 (2014), pp. 1-8 Research India Publications http://www.ripublication.com/aeee.htm ASIC Design and Implementation of a

More information

A Novel Off-chip Capacitor-less CMOS LDO with Fast Transient Response

A Novel Off-chip Capacitor-less CMOS LDO with Fast Transient Response IOSR Journal o Engineering (IOSRJEN) e-issn: 2250-3021, p-issn: 2278-8719 Vol. 3, Issue 11 (November. 2013), V3 PP 01-05 A Novel O-chip Capacitor-less CMOS LDO with Fast Transient Response Bo Yang 1, Shulin

More information

Simulation of Radio Frequency Integrated Circuits

Simulation of Radio Frequency Integrated Circuits Simulation o Radio Frequency Integrated Circuits Based on: Computer-Aided Circuit Analysis Tools or RFIC Simulation: Algorithms, Features, and Limitations, IEEE Trans. CAS-II, April 2000. Outline Introduction

More information

354 Facta Universitatis ser.: Elec. and Energ. vol. 13, No.3, December 2000 in the audio frequency band. There are many reasons for moving towards a c

354 Facta Universitatis ser.: Elec. and Energ. vol. 13, No.3, December 2000 in the audio frequency band. There are many reasons for moving towards a c FACTA UNIVERSITATIS (NI» S) Series: Electronics and Energetics vol. 13, No. 3, December 2000, 353-364 GENERATING DRIVING SIGNALS FOR THREE PHASES INVERTER BY DIGITAL TIMING FUNCTIONS Miroslav Lazić, Miodrag

More information

Power Optimization in Stratix IV FPGAs

Power Optimization in Stratix IV FPGAs Power Optimization in Stratix IV FPGAs May 2008, ver.1.0 Application Note 514 Introduction The Stratix IV amily o devices rom Altera is based on 0.9 V, 40 nm Process technology. Stratix IV FPGAs deliver

More information

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS ROBERT BOGDAN STASZEWSKI Texas Instruments PORAS T. BALSARA University of Texas at Dallas WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC., PUBLICATION

More information

FPGA Prototyping of Digital RF Transmitter Employing Delta Sigma Modulation for SDR

FPGA Prototyping of Digital RF Transmitter Employing Delta Sigma Modulation for SDR FPGA Prototyping of Digital RF Transmitter Employing Delta Sigma Modulation for SDR Mohamed A. Dahab¹ Khaled A. Shehata² Salwa H. El Ramly³ Karim A. Hamouda 4 124 Arab Academy for Science, Technology &

More information

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4

A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4 A HARDWARE DC MOTOR EMULATOR VAGNER S. ROSA 1, VITOR I. GERVINI 2, SEBASTIÃO C. P. GOMES 3, SERGIO BAMPI 4 Abstract Much work have been done lately to develop complex motor control systems. However they

More information

Sinusoidal signal. Arbitrary signal. Periodic rectangular pulse. Sampling function. Sampled sinusoidal signal. Sampled arbitrary signal

Sinusoidal signal. Arbitrary signal. Periodic rectangular pulse. Sampling function. Sampled sinusoidal signal. Sampled arbitrary signal Techniques o Physics Worksheet 4 Digital Signal Processing 1 Introduction to Digital Signal Processing The ield o digital signal processing (DSP) is concerned with the processing o signals that have been

More information

A Low Power VLSI Design of an All Digital Phase Locked Loop

A Low Power VLSI Design of an All Digital Phase Locked Loop A Low Power VLSI Design of an All Digital Phase Locked Loop Nakkina Vydehi 1, A. S. Srinivasa Rao 2 1 M. Tech, VLSI Design, Department of ECE, 2 M.Tech, Ph.D, Professor, Department of ECE, 1,2 Aditya Institute

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

BPSK System on Spartan 3E FPGA

BPSK System on Spartan 3E FPGA INTERNATIONAL JOURNAL OF INNOVATIVE TECHNOLOGIES, VOL. 02, ISSUE 02, FEB 2014 ISSN 2321 8665 BPSK System on Spartan 3E FPGA MICHAL JON 1 M.S. California university, Email:santhoshini33@gmail.com. ABSTRACT-

More information

PLL AND NUMBER OF SAMPLE SYNCHRONISATION TECHNIQUES FOR ELECTRICAL POWER QUALITY MEASURMENTS

PLL AND NUMBER OF SAMPLE SYNCHRONISATION TECHNIQUES FOR ELECTRICAL POWER QUALITY MEASURMENTS XX IMEKO World Congress Metrology or Green Growth September 9 14, 2012, Busan, Republic o Korea PLL AND NUMBER OF SAMPLE SYNCHRONISATION TECHNIQUES FOR ELECTRICAL POWER QUALITY MEASURMENTS Richárd Bátori

More information

Low Jitter Circuits in Digital System using Phase Locked Loop

Low Jitter Circuits in Digital System using Phase Locked Loop Proceedings o the World Congress on Engineering 013 Vol II, WCE 013, July 3-5, 013, London, U.K. Low Jitter Circuits in Digital System using Phase Locked Loop Ahmed Telba, Member, IAENG Abstract It is

More information

VHDL Implementation of High Performance Digital Up Converter Using Multi-DDS Technology For Radar Transmitters

VHDL Implementation of High Performance Digital Up Converter Using Multi-DDS Technology For Radar Transmitters VHDL Implementation of High Performance Digital Up Converter Using Multi-DDS Technology For Radar Transmitters Ganji Ramu M. Tech Student, Department of Electronics and Communication Engineering, SLC s

More information

SAW STABILIZED MICROWAVE GENERATOR ELABORATION

SAW STABILIZED MICROWAVE GENERATOR ELABORATION SAW STABILIZED MICROWAVE GENERATOR ELABORATION Dobromir Arabadzhiev, Ivan Avramov*, Anna Andonova, Philip Philipov * Institute o Solid State Physics - BAS, 672, Tzarigradsko Choussee, blvd, 1784,Soia,

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN 5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE 802.11a/b/g WLAN Manolis Terrovitis, Michael Mack, Kalwant Singh, and Masoud Zargari 1 Atheros Communications, Sunnyvale, California 1 Atheros

More information

Spread-Spectrum Technique in Sigma-Delta Modulators

Spread-Spectrum Technique in Sigma-Delta Modulators Spread-Spectrum Technique in Sigma-Delta Modulators by Eric C. Moule Submitted in Partial Fulillment o the Requirements or the Degree Doctor o Philosophy Supervised by Proessor Zeljko Ignjatovic Department

More information

Introduction to OFDM. Characteristics of OFDM (Orthogonal Frequency Division Multiplexing)

Introduction to OFDM. Characteristics of OFDM (Orthogonal Frequency Division Multiplexing) Introduction to OFDM Characteristics o OFDM (Orthogonal Frequency Division Multiplexing Parallel data transmission with very long symbol duration - Robust under multi-path channels Transormation o a requency-selective

More information

Pipeline vs. Sigma Delta ADC for Communications Applications

Pipeline vs. Sigma Delta ADC for Communications Applications Pipeline vs. Sigma Delta ADC for Communications Applications Noel O Riordan, Mixed-Signal IP Group, S3 Semiconductors noel.oriordan@s3group.com Introduction The Analog-to-Digital Converter (ADC) is a key

More information

Analog ó Digital Conversion Sampled Data Acquisition Systems Discrete Sampling and Nyquist Digital to Analog Conversion Analog to Digital Conversion

Analog ó Digital Conversion Sampled Data Acquisition Systems Discrete Sampling and Nyquist Digital to Analog Conversion Analog to Digital Conversion Today Analog ó Digital Conversion Sampled Data Acquisition Systems Discrete Sampling and Nyquist Digital to Analog Conversion Analog to Digital Conversion Analog Digital Analog Beneits o digital systems

More information

Using an FPGA based system for IEEE 1641 waveform generation

Using an FPGA based system for IEEE 1641 waveform generation Using an FPGA based system for IEEE 1641 waveform generation Colin Baker EADS Test & Services (UK) Ltd 23 25 Cobham Road Wimborne, Dorset, UK colin.baker@eads-ts.com Ashley Hulme EADS Test Engineering

More information

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog FPGA Implementation of Digital Techniques BPSK and QPSK using HDL Verilog Neeta Tanawade P. G. Department M.B.E.S. College of Engineering, Ambajogai, India Sagun Sudhansu P. G. Department M.B.E.S. College

More information

Design of a Rom-Less Direct Digital Frequency Synthesizer in 65nm CMOS Technology

Design of a Rom-Less Direct Digital Frequency Synthesizer in 65nm CMOS Technology Design of a Rom-Less Direct Digital Frequency Synthesizer in 65nm CMOS Technology Master thesis performed in Electronic Devices Author: Golnaz Ebrahimi Mehr Report number: LiTH-ISY-EX--13/4657--SE Linköping,

More information

Design and Implementation of High Speed Carry Select Adder

Design and Implementation of High Speed Carry Select Adder Design and Implementation of High Speed Carry Select Adder P.Prashanti Digital Systems Engineering (M.E) ECE Department University College of Engineering Osmania University, Hyderabad, Andhra Pradesh -500

More information

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core reset 16-bit signed input data samples Automatic carrier acquisition with no complex setup required User specified design

More information

National Instruments Flex II ADC Technology The Flexible Resolution Technology inside the NI PXI-5922 Digitizer

National Instruments Flex II ADC Technology The Flexible Resolution Technology inside the NI PXI-5922 Digitizer National Instruments Flex II ADC Technology The Flexible Resolution Technology inside the NI PXI-5922 Digitizer Kaustubh Wagle and Niels Knudsen National Instruments, Austin, TX Abstract Single-bit delta-sigma

More information

Simplified Analogue Realization of the Digital Direct Synthesis (DDS) Technique for Signal Generation

Simplified Analogue Realization of the Digital Direct Synthesis (DDS) Technique for Signal Generation IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 9, Issue 2 Ver. VI (Mar Apr. 2014), PP 85-89 Simplified Analogue Realization of the Digital

More information

OSCILLATORS. Introduction

OSCILLATORS. Introduction OSILLATOS Introduction Oscillators are essential components in nearly all branches o electrical engineering. Usually, it is desirable that they be tunable over a speciied requency range, one example being

More information

QAN19 Modulating Direct Digital Synthesizer in a QuickLogic FPGA

QAN19 Modulating Direct Digital Synthesizer in a QuickLogic FPGA DDS Overview DDS Block Diagram QAN19 Modulating Direct Digital Synthesizer in a QuickLogic FPGA In the pursuit of more complex phase continuous modulation techniques, the control of the output waveform

More information

A Physical Sine-to-Square Converter Noise Model

A Physical Sine-to-Square Converter Noise Model A Physical Sine-to-Square Converter Noise Model Attila Kinali Max Planck Institute or Inormatics, Saarland Inormatics Campus, Germany adogan@mpi-in.mpg.de Abstract While sinusoid signal sources are used

More information

BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA

BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA BPSK Modulation and Demodulation Scheme on Spartan-3 FPGA Mr. Pratik A. Bhore 1, Miss. Mamta Sarde 2 pbhore3@gmail.com1, mmsarde@gmail.com2 Department of Electronics & Communication Engineering Abha Gaikwad-Patil

More information

3.6 Intersymbol interference. 1 Your site here

3.6 Intersymbol interference. 1 Your site here 3.6 Intersymbol intererence 1 3.6 Intersymbol intererence what is intersymbol intererence and what cause ISI 1. The absolute bandwidth o rectangular multilevel pulses is ininite. The channels bandwidth

More information

DS H01 DIGITAL SYNTHESIZER MODULE SYSTEM SOLUTIONS. Features Applications 174 x 131 x 54 mm. Technical Description

DS H01 DIGITAL SYNTHESIZER MODULE SYSTEM SOLUTIONS. Features Applications 174 x 131 x 54 mm. Technical Description DS H01 The DS H01 is a high performance dual digital synthesizer with wide output bandwidth specially designed for Defense applications where generation of wideband ultra-low noise signals along with very

More information

DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER

DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER 12 JAVA Journal of Electrical and Electronics Engineering, Vol. 1, No. 1, April 2003 DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER Totok Mujiono Dept. of Electrical Engineering, FTI ITS

More information

VLSI Implementation of Digital Down Converter (DDC)

VLSI Implementation of Digital Down Converter (DDC) Volume-7, Issue-1, January-February 2017 International Journal of Engineering and Management Research Page Number: 218-222 VLSI Implementation of Digital Down Converter (DDC) Shaik Afrojanasima 1, K Vijaya

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

ULTRAWIDE-BAND (UWB) systems using multiband orthogonal

ULTRAWIDE-BAND (UWB) systems using multiband orthogonal 566 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 3, MARCH 2006 A 3-to-8-GHz Fast-Hopping Frequency Synthesizer in 0.18-m CMOS Technology Jri Lee, Member, IEEE Abstract A frequency synthesizer incorporating

More information

Agile Low-Noise Frequency Synthesizer A. Ridenour R. Aurand Spectrum Microwave

Agile Low-Noise Frequency Synthesizer A. Ridenour R. Aurand Spectrum Microwave Agile Low-Noise Frequency Synthesizer A. Ridenour R. Aurand Spectrum Microwave Abstract Simultaneously achieving low phase noise, fast switching speed and acceptable levels of spurious outputs in microwave

More information

Direct Digital Synthesis

Direct Digital Synthesis Tutorial Tutorial The HP 33120A is capable of producing a variety of signal waveshapes. In order to achieve the greatest performance from the function generator, it may be helpful if you learn more about

More information

LLRF4 Evaluation Board

LLRF4 Evaluation Board LLRF4 Evaluation Board USPAS Lab Reference Author: Dmitry Teytelman Revision: 1.1 June 11, 2009 Copyright Dimtel, Inc., 2009. All rights reserved. Dimtel, Inc. 2059 Camden Avenue, Suite 136 San Jose, CA

More information

Design and Simulation of a Modified 32-bit ROM-based Direct Digital Frequency Synthesizer on FPGA

Design and Simulation of a Modified 32-bit ROM-based Direct Digital Frequency Synthesizer on FPGA Amirkabir University of Technology (Tehran Polytechnic) Vol. 47, No. 1, Spring 2015, pp. 23-29 Amirkabir International Journal of Science& Research )AIJ-EEE) Design and Simulation of a Modified 32-bit

More information

DIRECT UP-CONVERSION USING AN FPGA-BASED POLYPHASE MODEM

DIRECT UP-CONVERSION USING AN FPGA-BASED POLYPHASE MODEM DIRECT UP-CONVERSION USING AN FPGA-BASED POLYPHASE MODEM Rob Pelt Altera Corporation 101 Innovation Drive San Jose, California, USA 95134 rpelt@altera.com 1. ABSTRACT Performance requirements for broadband

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION 1 CHAPTER 1 INTRODUCTION 1.1 PROBLEM IDENTIFICATION In the past few decades, the wireless communication technology has seen tremendous growth for various applications. The wireless communication industry

More information

Note Using the PXIe-5785 in a manner not described in this document might impair the protection the PXIe-5785 provides.

Note Using the PXIe-5785 in a manner not described in this document might impair the protection the PXIe-5785 provides. SPECIFICATIONS PXIe-5785 PXI FlexRIO IF Transceiver This document lists the specifications for the PXIe-5785. Specifications are subject to change without notice. For the most recent device specifications,

More information

On-Chip Automatic Analog Functional Testing and Measurements

On-Chip Automatic Analog Functional Testing and Measurements On-Chip Automatic Analog Functional Testing and Measurements Chuck Stroud, Foster Dai, and Dayu Yang Electrical & Computer Engineering Auburn University from presentation to Select Universities Technology,

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

R Using the Virtex Delay-Locked Loop

R Using the Virtex Delay-Locked Loop Application Note: Virtex Series XAPP132 (v2.4) December 20, 2001 Summary The Virtex FPGA series offers up to eight fully digital dedicated on-chip Delay-Locked Loop (DLL) circuits providing zero propagation

More information