An In-Band Noise Filtering 32-tap FIR-Embedded ΔΣ Digital Fractional-N PLL

Size: px
Start display at page:

Download "An In-Band Noise Filtering 32-tap FIR-Embedded ΔΣ Digital Fractional-N PLL"

Transcription

1 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.3, JUNE, 2015 ISSN(Print) ISSN(Online) An In-Band Noise Filtering 32-tap FIR-Embedded ΔΣ Digital Fractional-N PLL Jong Mi Lee, Dong-Woo Jee, Byungsub Kim, Hong-June Park, and Jae-Yoon Sim Abstract This paper presents a 1.9-GHz digital ΔΣ fractional-n PLL with a finite impulse response (FIR) filter embedded for noise suppression. The proposed digital implementation of FIR provides a simple method of increasing the number of taps without complicated calculation for gain matching. This work demonstrates 32 tap FIR filtering for the first time and successfully filtered the in-band phase noise generated from delta-sigma modulator (DSM). Design considerations are also addressed to find the optimum number of taps when the resolution of time-to-digital converter (TDC) is given. The PLL, fabricated in 0.11-μm CMOS, achieves a well-regulated in-band phase noise of less than -100 dbc/hz for the entire range inside the bandwidth of 3 MHz. Compared with the conventional dual-modulus division, the proposed PLL shows an overall noise suppression of about 15dB both at in-band and out-of-band region. Index Terms ΔΣ modulator, FIR filtering, fractional-n PLL, frequency synthesizer, phase noise, TDC, phase rotator I. INTRODUCTION The differential signaling is widely used for highspeed interface because of its superior immunity to environmental noise and the low EMI. The digital circuits shrink rapidly with the advent of recent semiconductor processes. Also the supply voltage is Manuscript received Oct. 21, 2014; accepted Dec. 24, 2014 of Electronic and Electrical Engineering, Pohang University of Science and Technology (POSTECH), Gyeongbuk, Korea jysim@postech.ac.kr reduced to about 1 V for the state-of-the-art semiconductor processes. Efforts are driven to develop the CMOS inverter-based differential signaling schemes [1, 2]. The fractional-n PLL with a DSM-driven divider [3] in feedback loop has been a general architecture in frequency generation for wireless and wireline applications. While the DSM provides nearly limitless resolution in frequency generation, the trade-off in filtering between in-band VCO noise and DSM noise eventually limits the overall noise suppression. Various methods have been proposed to suppress the DSM noise. Use of a low-pass noise-shaping TDC [4] can be an approach to reduce high-frequency part of the DSM noise. To obtain the stability, however, the constraints on the bandwidths of noise-shaping TDC and PLL loop eventually limits the designable loop bandwidth of PLL. To relieve the trade-off limitation, FIR filtering technique has been an actively researched topic since it simply performs running averaging of DSM noise without affecting PLL loop dynamics. For the summing operation in FIR filtering, analog implementations such as phase blending [1] and the use of multiple CPs, PFDs, and dividers [2, 5, 6] suffer from power overhead and matching requirements as the number of taps increases. Recently, an eight-tap digitaldomain FIR filtering scheme was implemented in an alldigital PLL [7]. However, a complicated digital-domain calculation is needed for gain matching between TDC path and FIR filtering path. Moreover, the maximum number of taps in previously reported implementations is only eight, resulting in the first zero falls on 1/8 of reference frequency and little filtering effect on in-band frequency region.

2 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.3, JUNE, allocated as the tap experiences more delay. The 32nd tap is provided by PR-TDC path, and its output is added up at TDC output stage, completing the 32-tap FIR filter. The gain through PR and TDC for 32nd tap should match the gain through digital logic for other taps. For this, a gain factor (G A ) is allocated for scaling the 31-tap FIR filter output. To obtain requirements on G A, the desired PR-TDC gain can be derived as a function of G A. If the 32nd tap were implemented with digital logic as the other taps, the gain should be 32 G A, resulting in Fig. 1. Block diagram of the proposed PLL. This paper presents a 32-tap FIR-embedded digital fractional-n PLL. The contributions of this paper are 1) proposing a circuit scheme to simply extend the number of FIR taps without complicated calculation for gain matching, 2) presenting analyses and a design method to find the optimum number of FIR taps when TDC resolution is given, and 3) the first demonstration of the in-band noise filtering with 32-tap FIR filter. Section II describes circuit details of the proposed FIR-embedded digital PLL. Section III presents analysis to find the optimum number of taps. Section IV shows chip measurement results, and Section V concludes this work. II. CIRCUIT DESCRIPTION Fig. 1 shows circuit diagram of the proposed PLL which follows an overall architecture of phase rotator (PR) based fractional division scheme. The phase rotator is implemented with a dual-referenced interpolator [8] controlled by a 3rd order DSM output. An LC-DCO generates complementary 1.9GHz outputs followed by two toggle D-flipflops providing four-phase 950 MHz. A phase expander with inverter-based averaging circuits generates 8 seed phases for the dual-referenced interpolation which divides one period of 950 MHz into 32 steps. Therefore, 2 TDCO is divided into 32 steps, where TDCO represents one period of DCO output. For FIR filtering, DSM output is applied to a chain of 31 flipflops, generating 31 taps of FIR filter. Since DSM output represents frequency, an incremented weighted sum of tapped outputs forms a phase-domain 31-tap alldigital FIR filtering. In other words, larger weight is (2 T DCO ) /32/ Δt TDC = 32 G A (1) where Δt TDC is the resolution of TDC. In this work, G A was chosen to be 1/4, which can be simply implemented by bit shifting without complicated digital-domain gain adaptation. Instead, Δt TDC is controlled to be (2 T DCO )/256. Since 2 T DCO is 1/950MHz or 1052 ps, the target Δt TDC becomes about 4 ps. The TDC is designed with a vernier delay chain whose resolution is adjusted by a delay control loop. The maximum output from the proposed phase-domain 31- tap FIR is 496 (summation from 1 to 31), so the output needs to be expressed with a 9b. Since the resolution of PR is 1052 ps/32 which corresponds to the resolution of 32nd tap, the LSB in 9b FIR output corresponds to 1052 ps/(32x32) or almost 1 ps. Therefore, the chosen Δt TDC of 4 ps is 4x bigger than the resolution of FIR filter. This work claims that the use of finer resolution in 31-tap FIR filtering still helps noise suppression even used with coarser TDC resolution for 32nd tap. Detailed analysis for finding the optimum resolution of FIR filter will be presented in the next Section. The block in dotted line was synthesized with standard cells. Fig. 2 shows the Δt TDC calibration scheme. The Δt TDC is controlled with two loops. The upper loop finds a 5b binary code (C1[4:0]) to equalize 16 τ 1 to 2 T DCO. The second loop adjusts 16 τ 2 to be equal to τ 1 with a code (C2[4:0]). Thus, τ 2 is eventually adjusted to (2 T DCO )/256 corresponding to about 4 ps. Though τ 2 is conceptually drawn with a delay cell in Fig. 2, it was implemented with a vernier delay which is replica cell in TDC. This calibration process for Δt TDC is performed during initialization. When the process is completed, these loops are turned off with C2[4:0] stored. The obtained C2[4:0] is applied to TDC.

3 344 JONG MI LEE et al : AN IN-BAND NOISE FILTERING 32-TAP FIR-EMBEDDED ΔΣ DIGITAL FRACTIONAL-N PLL 3 rd Order DSM [ : ] [ : ] Fig. 2. Block diagram of Dt TDC calibration scheme. III. OPTIMUM NUMBER OF TAPS The effect of phase noise generated by DSM decreases as the number of FIR taps increases. On the other hand, the in-band phase noise (L) caused by a finite TDC resolution is fixed and is given by [9]. Delta Sigma noise with the N tap FIR filter Fig. 3. Modeling of N-tap FIR filter to simulate the effect of DSM-driven phase noise. L = (2π) 2 /(12 F ref ) ( Δt TDC /T DCO ) 2 (2) The ideal FIR-filtered DSM noise can be estimated by MATLAB with the schematic in Fig. 3. Simulated DSM noise is shown in Fig. 4 for different tap numbers varying from 8 to 64. The simulated TDC noise is also plotted for a reference. This reveals that increasing the number of taps more than 32 barely helps in overall noise suppression since the maximum noise level is rather limited by TDC resolution. Therefore, 32 can be an optimal candidate for the minimum number of taps which can eliminate the trade-off limitation in the choice of bandwidth. However, this analysis assumes an ideal FIR filter as in Fig. 3, so it can be valid only when FIR filter perfectly works. In the digital PLL, where FIR filtering function is embedded by using TDC operation, the resolution of TDC should be also considered. In the proposed scheme, the 32nd tap of FIR filter is provided through TDC path and summed with 31-tap digital FIR filter output. While the LSB of 31-tap FIR output represents 1 ps, Δt TDC is 4 ps which is 4x bigger than the resolution of digital FIR filter. Therefore, it is necessary to evaluate FIR filtering performance if one tap is 4x coarser than other 31 taps. To obtain the effect of TDC and FIR resolutions on the phase noise at PLL output, a closed loop PLL model [10] is Δt TDC set up and is simulated with MATLAB. Fig. 5 Phase Noise[dBc/Hz] Fig. 4. Simulated phase noise with 8, 16, 32, and 64-tap FIR filter. shows simulated phase noise at PLL output as the number of taps varies from 8 to 32 for three cases (16 ps, 8 ps, and 4 ps) of Δt TDC. When the Δt TDC is large (16 ps and 8 ps) as in Figs. 5(a) and (b), noise suppression is rather limited by Δt TDC, where increasing the number of taps over 8 and 16 does not help further noise suppression, respectively. On the other hand, when Δt TDC is small (4 ps) as in Fig. 5(c), the DSM noise is effectively filtered by 32 tap FIR filter. Fig. 6 summarizes simulated maximum phase noise level at PLL output for various resolutions of TDC and digital FIR. When Δt TDC is small enough (e.g. 2 ps), it does not limit the noise filtering by FIR. In this case, the

4 Output Phase Noise [dbc/hz] JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.3, JUNE, (a) DtTDC = 16 ps (b) DtTDC = 8 ps (c) DtTDC = 4 ps Fig. 5. Simulated closed loop phase noise as the number of taps varies from 8, 16, and 32 for three cases of DtTDC (16 ps, 8 ps, 4 ps). Fig.5(a) Fig.5(b) Fig.5(c) # Fig. 6. Summary of phase noise simulation. performance is dominated by the resolution of FIR (i.e. the number of taps). However, as ΔtTDC increases, it becomes another limiting factor in the maximally achievable noise suppression. Once the noise level is limited by FIR, finer resolution of TDC does not give further benefit. Therefore, the optimum number of taps with given ΔtTDC can be chosen where the horizontal line crosses the asymptotic diagonal boundary. In this work, with the given ΔtTDC of 4 ps, the optimum number of taps is in between 32 and 64. We selected 32. This simulation validates that 31-tap digital FIR with 1 ps resolution still works well with the 32nd tap with 4 ps resolution. Fig. 7 shows simulated digital code at the input of loop filter in locked state, showing that fluctuations in code are effectively reduced by the proposed filtering. Fig. 7. Transients of input codes of loop filter. IV. MEASUREMENTS The proposed PLL was implemented in 0.11 μm CMOS (Fig. 8). The core area is 0.84 mm2 with LCDCO area of 0.49 mm2. For comparison, the chip was designed to include three options; 1) conventional scheme with a dual-modulus divider only, 2) dual-referenced PR without FIR filtering, and 3) dual-referenced PR with FIR filtering. Fig. 9 shows measured phase noise for option 1) and 2) with the reference frequency of 57 MHz. The output frequency and the loop bandwidth were set to GHz and 3 MHz, respectively. The use of PR instead of dual-modulus divider reduces the phase noise by 15 db. To verify the effect of the proposed FIR

5 346 JONG MI LEE et al : AN IN-BAND NOISE FILTERING 32-TAP FIR-EMBEDDED ΔΣ DIGITAL FRACTIONAL-N PLL Table 1. Performance comparioson Proposed [7] [1] [2] [5] [11] [4] Output Freq[GHz] 1.81~ f BW [Hz] 3M 1M 3.2M 1M 200k 3.2M 1M In-band PN[dBc/Hz/] Method Digital FIR+PI Digital FIR FIR+PI FIR FIR PI Noise Reshaping Power[mW] Area[mm 2 ] 22.8 (@DCO 13.2) (@DCO 0.49) CMOS[nm] VCO LC LC Ring Ring LC LC LC TDC, Delay Control Block Digital Block LCDCO Fig. 8. Chip photo. PR, Phase Expander, etc. Dual-modulus divider Fig. 10. Measured phase noises without FIR filtering (option 2) and with FIR filtering (option 3). with previously reported fractional-n PLLs. Compared with the previous approach with analog-domain 8-tap FIR filtering [1] consuming 13.2 mw without VCO, the proposed digital-domain 32-tap FIR filtering consumes less power of 9.6 mw without DCO. 15dB V. CONCLUSIONS Dual-referenced PR Fig. 9. Measured phase noises with conventional dual-modulus divider (option 1) and dual-referenced PR without FIR filtering (option 2). filtering, Fig. 10 compares smoothed plots of phase noise with the option 2) and 3). The proposed scheme (option 3) shows a well-regulated phase noise of less than dbc/hz in entire range inside the bandwidth of 3 MHz, while the option 2) suffers from unfiltered inband DSM noise. The suppression in the maximum noise level is about 5 db. Table 1 compares the proposed PLL This work firstly demonstrates a 32-tap FIR filtering embedded in a fractional-n PLL for actual in-band noise suppression. To increase the number of taps with minimal cost in hardware and power consumption, we propose a phase-domain digital FIR and a TDC gain calibration schemes. Design considerations are also addressed in choosing the optimum number of taps when TDC resolution is given. To verify the proposed circuit and design method, a 1.9-GHz digital ΔΣ fractional-n PLL was implemented in 0.11-μm CMOS. Measurements show a well-regulated inband phase noise of less than -100 dbc/hz for the entire range inside the bandwidth of 3 MHz, revealing the proposed schemes are promising in the design of low-noise PLL with FIR-embedded architecture.

6 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.3, JUNE, REFERENCES [1] D.-W. Jee, Y. Suh, H.-J. Park, and J,-Y. Sim, A 0.1-fref BW 1 GHz fractional-n PLL with FIRembedded phase-interpolator-based noise filtering, in ISSCC Dig. Tech. Papers, Feb. 2011, pp [2] X. Yu, Y. Sun, L. Zhang, W. Rhee, and Z. Wang, An FIR-embedded noise filtering method for ΔΣ fractional-n PLL clock generators, IEEE J. Solid- State Circuits, vol. 44, no. 9, pp , Sep [3] A. Kavala et a., A PVT-compensated 2.2 to 3.0 GHz digitally controlled oscillator for all-digital PLL, Semiconductor Technology and Science, Journal of, vol.14, no.4, pp , Aug [4] D.-W. Jee et al., A 2GHz fractional-n digital PLL with 1b noise shaping ΔΣ TDC, IEEE J. Solid- State Circuits, vol. 47, no.4, pp , Apr [5] X. Yu et al., A ΔΣ fractional-n synthesizer with customized noise shaping for WCDMA/HSDPA applications, IEEE J. Solid-State Circuits, vol. 44, no. 8, pp , Aug [6] M. Kondou, A. Matsuda, H. Yamazaki, and O. Kobayashi, A 0.3 mm 2 90-to-770 MHz fractiona- N synthesizer for a digital TV tuner, in ISSCC Dig. Tech. Papers, Feb. 2010, pp [7] I.-T. Lee, H.-Y. Lu, and S.-I. Liu, A 6-GHz alldigital fractional-n frequency synthesizer using FIR-embedded noise filtering technique, IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 59, no. 5, pp ,May [8] D.-W. Jee et al., A FIR-embedded phase interpolator based noise filtering for widebandwidth fractional-n PLL, IEEE J. Solid State Circuits, vol. 48, no. 11, pp , Nov [9] R. B. Staszewski, P. T. Balsara. All-Digital Frequency Synthesizer in Deep-Submicron CMOS. Hoboken, NJ: Wiley, [10] M. H. Perrott, M. D. Trott, and C. G. Sodini, A modeling approach for Σ-Δ fractional-n frequency synthesizers allowing straightforward noise analysis,ˮ IEEE J. Solid-State Circuits, vol. 37, no. 8, pp , Aug [11] M. Zanuso, S. Levantino, C. Samori, and A. L. Lacaita, A wideband 3.6 GHz digital ΔΣ fractional-n PLL with phase interpolation divider and digital spur cancellation, IEEE J. Solid-State Circuits, vol. 46, no.3, pp , Mar Jong Mi Lee was born in Seoul, Korea, on She received the B.S. degree in the Department of Electronic and Electrical Engineering from Pohang University of Science and Technology (POSTECH), Pohang, Korea, in She is currently pursuing the Ph.D. degree in the Department of Electronic and Electrical Engineering from Pohang University of Science and Technology (POSTECH), Korea. Her interests include analog/digital frequency synthesizer PLL and ultra-low-power analog circuits. Dong-Woo Jee received the B.S., M.S., and Ph.D. degrees in electronic and electrical engineering from Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 2006, 2009, and 2013, respectively. From 2011 to 2012, he was a Visiting Researcher with the University of Michigan, Ann Arbor, MI, USA. In 2013, he joined IMEC in Leuven, Belgium, where he is currently working as an Analog IC Designer. His research interests include circuit technique for scaled CMOS device, analog/digital frequency synthesizer PLL, ultra-lowpower clock generation for sensor node system, and analog circuits for biomedical applications. Dr. Jee was the recipient of the Gold Prize at the 17th Human Tech Paper Award hosted by Samsung Electronics in Byungsub Kim received the B.S. degree in Electronic and Electrical Engineering (EEE) from Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 2000, and the M.S. (2004) and Ph.D. (2010) degrees in Electrical Engineering and Computer Science (EECS) from Massachusetts Institute of Technology (MIT), Cambridge, USA. From 2010 to 2011,

7 348 JONG MI LEE et al : AN IN-BAND NOISE FILTERING 32-TAP FIR-EMBEDDED ΔΣ DIGITAL FRACTIONAL-N PLL he worked as an analog design engineer at Intel Corporation, Hillsboro, OR, USA. In 2012, he joined the faculty of the department of Electronic and Electrical Engineering at POSTECH, where he is currently working as an assistant professor. Dr. Kim received several honorable awards. In 2011, He received MIT EECS Jin- Au Kong Outstanding Doctoral Thesis Honorable Mentions, and IEEE 2009 Journal of Solid-State Circuits Best Paper Award. In 2009, he received Analog Device Inc. Outstanding Student Designer Award from MIT, and was also a co-recipient of the Beatrice Winner Award for Editorial Excellence at the 2009 IEEE Internal Solid- State Circuits Conference. Hong June Park received the B.S. degree from the Department of Electronic Engineering, Seoul National University, Seoul, Korea, in 1979, the M.S. degree from the Korea Advanced Institute of Science and Technology, Taejon, in 1981, and the Ph.D. degree from the Department of Electrical Engineering and Computer Sciences, University of California, Berkeley, in He was a CAD engineer with ETRI, Korea, from 1981 to 1984 and a Senior Engineer in the TCAD Department of INTEL from 1989 to In 1991, he joined the Faculty of Electronic and Electrical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, Korea, where he is currently Professor. His research interests include CMOS analog circuit design such as high-speed interface circuits, ROIC of touch sensors and analog/digital beamformer circuits for ultrasound medical imaging. Prof. Park is a member of IEEK. He served as the Editor-in-Chief of Journal of Semiconductor Technology and Science, an SCIE journal ( from 2009 to 2012, also as the Vice President of IEEK in 2012 and as the technical program committee member of ISSCC, SOVC and A-SSCC for several years. He received the Haedong Academics Award from IEEK in Jae-Yoon Sim received the B.S., M.S., and Ph.D. degrees in Electronic and Electrical Engineering from Pohang University of Science and Technology (POSTECH), Korea, in 1993, 1995, and 1999, respectively. From 1999 to 2005, he worked as a senior engineer at Samsung Electronics, Korea. From 2003 to 2005, he was a post-doctoral researcher with the University of Southern California, Los Angeles. From 2011 to 2012, he was a visiting scholar with the University of Michigan, Ann Arbor. In 2005, he joined POSTECH, where he is currently an Associate Professor. He has served in the Technical Program Committees of the International Solid-State Circuits Conference (ISSCC), Symposium on VLSI Circuits, and Asian Solid- State Circuits Conference (ASSCC). He was a corecipient of the Takuo Sugano Award at ISSCC His research interests include high-speed serial/parallel links, PLLs, data converters and power module for plasma generation.

A Single-Stage 37 db-linear Digitally-Controlled Variable Gain Amplifier for Ultrasound Medical Imaging

A Single-Stage 37 db-linear Digitally-Controlled Variable Gain Amplifier for Ultrasound Medical Imaging JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.5, OCTOBER, 2014 http://dx.doi.org/10.5573/jsts.2014.14.5.579 A Single-Stage 37 db-linear Digitally-Controlled Variable Gain Amplifier for Ultrasound

More information

All-Synthesizable 5-Phase Phase-Locked Loop for USB2.0

All-Synthesizable 5-Phase Phase-Locked Loop for USB2.0 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.352 ISSN(Online) 2233-4866 All-Synthesizable 5-Phase Phase-Locked

More information

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies

CMOS 120 GHz Phase-Locked Loops Based on Two Different VCO Topologies JOURNAL OF ELECTROMAGNETIC ENGINEERING AND SCIENCE, VOL. 17, NO. 2, 98~104, APR. 2017 http://dx.doi.org/10.5515/jkiees.2017.17.2.98 ISSN 2234-8395 (Online) ISSN 2234-8409 (Print) CMOS 120 GHz Phase-Locked

More information

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping

A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping A VCO-based analog-to-digital converter with secondorder sigma-delta noise shaping The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters.

More information

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL 2.1 Background High performance phase locked-loops (PLL) are widely used in wireless communication systems to provide

More information

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop Seong-Jin An 1 and Young-Shig Choi 2 Department of Electronic Engineering, Pukyong National University

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

A Clock Regenerator using Two 2 nd Order Sigma-Delta Modulators for Wide Range of Dividing Ratio

A Clock Regenerator using Two 2 nd Order Sigma-Delta Modulators for Wide Range of Dividing Ratio http://dx.doi.org/10.5573/jsts.2012.12.1.10 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.1, MARCH, 2012 A Clock Regenerator using Two 2 nd Order Sigma-Delta Modulators for Wide Range of

More information

Tae-Kwang Jang. Electrical Engineering, University of Michigan

Tae-Kwang Jang. Electrical Engineering, University of Michigan Education Tae-Kwang Jang Electrical Engineering, University of Michigan E-Mail: tkjang@umich.edu Ph.D. in Electrical Engineering, University of Michigan September 2013 November 2017 Dissertation title:

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.6.825 ISSN(Online) 2233-4866 An All-digital Delay-locked Loop using

More information

A Low Power, Small Area Cyclic Time-to-Digital Converter in All-Digital PLL for DVB-S2 Application

A Low Power, Small Area Cyclic Time-to-Digital Converter in All-Digital PLL for DVB-S2 Application JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.2, APRIL, 2013 http://dx.doi.org/10.5573/jsts.2013.13.2.145 A Low Power, Small Area Cyclic Time-to-Digital Converter in All-Digital PLL for DVB-S2

More information

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control

A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control A Phase-Locked Loop with Embedded Analog-to-Digital Converter for Digital Control Sooho Cha, Chunseok Jeong, and Changsik Yoo A phase-locked loop (PLL) is described which is operable from 0.4 GHz to 1.2

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator

Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Design of Low Noise 16-bit CMOS Digitally Controlled Oscillator Nitin Kumar #1, Manoj Kumar *2 # Ganga Institute of Technology & Management 1 nitinkumarvlsi@gmail.com * Guru Jambheshwar University of Science

More information

High-Robust Relaxation Oscillator with Frequency Synthesis Feature for FM-UWB Transmitters

High-Robust Relaxation Oscillator with Frequency Synthesis Feature for FM-UWB Transmitters JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.2, APRIL, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.2.202 ISSN(Online) 2233-4866 High-Robust Relaxation Oscillator with

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application J Electr Eng Technol Vol. 9, No.?: 742-?, 2014 http://dx.doi.org/10.5370/jeet.2014.9.?.742 ISSN(Print) 1975-0102 ISSN(Online) 2093-7423 20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband

More information

A High-Resolution Dual-Loop Digital DLL

A High-Resolution Dual-Loop Digital DLL JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.4, AUGUST, 216 ISSN(Print) 1598-1657 http://dx.doi.org/1.5573/jsts.216.16.4.52 ISSN(Online) 2233-4866 A High-Resolution Dual-Loop Digital DLL

More information

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, OL.13, NO.5, OCTOBER, 2013 http://dx.doi.org/10.5573/jsts.2013.13.5.459 A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier Geontae

More information

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor

A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in Jitter Monitor 1472 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 62, NO. 6, JUNE 2015 A Clock and Data Recovery Circuit With Programmable Multi-Level Phase Detector Characteristics and a Built-in

More information

HIGH resolution time-to-digital converters (TDCs)

HIGH resolution time-to-digital converters (TDCs) 3064 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 57, NO. 12, DECEMBER 2010 A 14.6 ps Resolution, 50 ns Input-Range Cyclic Time-to-Digital Converter Using Fractional Difference Conversion

More information

Biju Viswanath Rajagopal P C Ramya Nair S R Jobin Cyriac. QuEST Global

Biju Viswanath Rajagopal P C Ramya Nair S R Jobin Cyriac. QuEST Global an effective design and verification methodology for digital PLL This Paper depicts an effective simulation methodology to overcome the spice simulation time overhead of digital dominant, low frequency

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

High Performance Digital Fractional-N Frequency Synthesizers

High Performance Digital Fractional-N Frequency Synthesizers High Performance Digital Fractional-N Frequency Synthesizers Michael Perrott October 16, 2008 Copyright 2008 by Michael H. Perrott All rights reserved. Why Are Digital Phase-Locked Loops Interesting? PLLs

More information

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 15.7 A 4µA-Quiescent-Current Dual-Mode Buck Converter IC for Cellular Phone Applications Jinwen Xiao, Angel Peterchev, Jianhui Zhang, Seth Sanders

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

THE reference spur for a phase-locked loop (PLL) is generated

THE reference spur for a phase-locked loop (PLL) is generated IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 8, AUGUST 2007 653 Spur-Suppression Techniques for Frequency Synthesizers Che-Fu Liang, Student Member, IEEE, Hsin-Hua Chen, and

More information

PAPER Low Pass Filter-Less Pulse Width Controlled PLL Using Time to Soft Thermometer Code Converter

PAPER Low Pass Filter-Less Pulse Width Controlled PLL Using Time to Soft Thermometer Code Converter 297 PAPER Low Pass Filter-Less Pulse Width Controlled PLL Using Time to Soft Thermometer Code Converter Toru NAKURA a) and Kunihiro ASADA, Members SUMMARY This paper demonstrates a pulse width controlled

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

Research Article Semidigital PLL Design for Low-Cost Low-Power Clock Generation

Research Article Semidigital PLL Design for Low-Cost Low-Power Clock Generation Journal of Electrical and Computer Engineering Volume 20, Article ID 235843, 9 pages doi:0.55/20/235843 Research Article Semidigital PLL Design for Low-Cost Low-Power Clock Generation Ni Xu, Woogeun Rhee,

More information

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS -3GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS Hyohyun Nam and Jung-Dong Park a Division of Electronics and Electrical Engineering, Dongguk University, Seoul E-mail

More information

A Low Area, Switched-Resistor Loop Filter Technique for Fractional-N Synthesizers Applied to a MEMS-based Programmable Oscillator

A Low Area, Switched-Resistor Loop Filter Technique for Fractional-N Synthesizers Applied to a MEMS-based Programmable Oscillator A Low Area, Switched-Resistor Loop Filter Technique for Fractional-N Synthesizers Applied to a MEMS-based Programmable Oscillator ISSCC 00, Session 3. M.H. Perrott, S. Pamarti, E. Hoffman, F.S. Lee, S.

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

2011/12 Cellular IC design RF, Analog, Mixed-Mode

2011/12 Cellular IC design RF, Analog, Mixed-Mode 2011/12 Cellular IC design RF, Analog, Mixed-Mode Mohammed Abdulaziz, Mattias Andersson, Jonas Lindstrand, Xiaodong Liu, Anders Nejdel Ping Lu, Luca Fanori Martin Anderson, Lars Sundström, Pietro Andreani

More information

A 285-fs rms Integrated Jitter Injection-Locked Ring PLL with Charge-Stored Complementary Switch Injection Technique

A 285-fs rms Integrated Jitter Injection-Locked Ring PLL with Charge-Stored Complementary Switch Injection Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, 216 ISSN(Print) 1598-1657 https://doi.org/1.5573/jsts.216.16.6.86 ISSN(Online) 2233-4866 A 285-fs rms Integrated Jitter Injection-Locked

More information

A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique

A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique 800 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 A Single-Chip 2.4-GHz Direct-Conversion CMOS Receiver for Wireless Local Loop using Multiphase Reduced Frequency Conversion Technique

More information

A Frequency Synthesis of All Digital Phase Locked Loop

A Frequency Synthesis of All Digital Phase Locked Loop A Frequency Synthesis of All Digital Phase Locked Loop S.Saravanakumar 1, N.Kirthika 2 M.E.VLSI DESIGN Sri Ramakrishna Engineering College Coimbatore, Tamilnadu 1 s.saravanakumar21@gmail.com, 2 kirthi.com@gmail.com

More information

A Channel Model of Scaled RC-dominant Wires for High-Speed Wireline Transceiver Design

A Channel Model of Scaled RC-dominant Wires for High-Speed Wireline Transceiver Design http://dx.doi.org/.5573/jsts.23.3.5.482 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.3, NO.5, OCTOBER, 23 A Channel Model of Scaled RC-dominant Wires for High-Speed Wireline Transceiver Design

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

A Fast-Locking All-Digital Phase-Locked Loop with a Novel Counter-Based Mode Switching Controller

A Fast-Locking All-Digital Phase-Locked Loop with a Novel Counter-Based Mode Switching Controller A Fast-Locking All-Digital Phase-Locked Loop with a Novel Counter-Based Mode Switching Controller Guangming Yu, Yu Wang, Huazhong Yang and Hui Wang Department of Electrical Engineering Tsinghua National

More information

THE serial advanced technology attachment (SATA) is becoming

THE serial advanced technology attachment (SATA) is becoming IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 11, NOVEMBER 2007 979 A Low-Jitter Spread Spectrum Clock Generator Using FDMP Ding-Shiuan Shen and Shen-Iuan Liu, Senior Member,

More information

Design of Wireless Transceiver in 0.18um CMOS Technology for LoRa application

Design of Wireless Transceiver in 0.18um CMOS Technology for LoRa application Design of Wireless Transceiver in 0.18um CMOS Technology for LoRa application Yoonki Lee 1, Jiyong Yoon and Youngsik Kim a Department of Information and Communication Engineering, Handong University E-mail:

More information

An analytical phase noise model of charge pump mismatch in sigma-delta frequency synthesizer

An analytical phase noise model of charge pump mismatch in sigma-delta frequency synthesizer Analog Integr Circ Sig Process (2006) 48:223 229 DOI 10.1007/s10470-006-7832-3 An analytical phase noise model of charge pump mismatch in sigma-delta frequency synthesizer Xiaojian Mao Huazhong Yang Hui

More information

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong Research and Development Activities in RF and Analog IC Design Howard Luong Analog Research Laboratory Department of Electrical and Electronic Engineering Hong Kong University of Science and Technology

More information

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology

A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology A wide-range all-digital duty-cycle corrector with output clock phase alignment in 65 nm CMOS technology Ching-Che Chung 1a), Duo Sheng 2, and Sung-En Shen 1 1 Department of Computer Science & Information

More information

A Cyclic Vernier TDC for ADPLLs Synthesized From a Standard Cell Library Youngmin Park, Student Member, IEEE, and David D. Wentzloff, Member, IEEE

A Cyclic Vernier TDC for ADPLLs Synthesized From a Standard Cell Library Youngmin Park, Student Member, IEEE, and David D. Wentzloff, Member, IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 58, NO. 7, JULY 2011 1511 A Cyclic Vernier TDC for ADPLLs Synthesized From a Standard Cell Library Youngmin Park, Student Member, IEEE,

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

RF SYNTHESIS using ring oscillators, rather than LC

RF SYNTHESIS using ring oscillators, rather than LC IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 52, NO. 8, AUGUST 2017 2117 A 2.4-GHz 6.4-mW Fractional-N Inductorless RF Synthesizer Long Kong, Member, IEEE, and Behzad Razavi, Fellow, IEEE Abstract A cascaded

More information

Behavior Model of Noise Phase in a Phase Locked Loop Employing Sigma Delta Modulator

Behavior Model of Noise Phase in a Phase Locked Loop Employing Sigma Delta Modulator Behavior Model of Noise Phase in a Phase Locked Loop Employing Sigma Delta Modulator Tayebeh Ghanavati Nejad 1 and Ebrahim Farshidi 2 1,2 Electrical Department, Faculty of Engineering, Shahid Chamran University

More information

Noise Analysis of Phase Locked Loops

Noise Analysis of Phase Locked Loops Noise Analysis of Phase Locked Loops MUHAMMED A. IBRAHIM JALIL A. HAMADAMIN Electrical Engineering Department Engineering College Salahaddin University -Hawler ERBIL - IRAQ Abstract: - This paper analyzes

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

High Performance Digital Fractional-N Frequency Synthesizers. IEEE Distinguished Lecture Lehigh Valley SSCS Chapter

High Performance Digital Fractional-N Frequency Synthesizers. IEEE Distinguished Lecture Lehigh Valley SSCS Chapter High Performance Digital Fractional-N Frequency Synthesizers IEEE Distinguished Lecture Lehigh Valley SSCS Chapter Michael H. Perrott October 2013 Copyright 2013 by Michael H. Perrott All rights reserved.

More information

IN RECENT years, the phase-locked loop (PLL) has been a

IN RECENT years, the phase-locked loop (PLL) has been a 430 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 6, JUNE 2010 A Two-Cycle Lock-In Time ADPLL Design Based on a Frequency Estimation Algorithm Chia-Tsun Wu, Wen-Chung Shen,

More information

A High Dynamic Range Digitally- Controlled Oscillator (DCO) for All-DPLL systems is. Samira Jafarzade 1, Abumoslem Jannesari 2

A High Dynamic Range Digitally- Controlled Oscillator (DCO) for All-DPLL systems is. Samira Jafarzade 1, Abumoslem Jannesari 2 A High Dynamic Range Digitally- Controlled Oscillator (DCO) for All-Digital PLL Systems Samira Jafarzade 1, Abumoslem Jannesari 2 Received: 2014/7/5 Accepted: 2015/3/1 Abstract In this paper, a new high

More information

STT-MRAM Read-circuit with Improved Offset Cancellation

STT-MRAM Read-circuit with Improved Offset Cancellation JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.347 ISSN(Online) 2233-4866 STT-MRAM Read-circuit with Improved Offset

More information

Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos

Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos LETTER IEICE Electronics Express, Vol.10, No.6, 1 6 Acounter-basedall-digital spread-spectrum clock generatorwithhighemi reductionin65nmcmos Ching-Che Chung 1a), Duo Sheng 2, and Wei-Da Ho 1 1 Department

More information

Digital Phase Tightening for Millimeter-wave Imaging

Digital Phase Tightening for Millimeter-wave Imaging Digital Phase Tightening for Millimeter-wave Imaging The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published Publisher

More information

REDUCING power consumption and enhancing energy

REDUCING power consumption and enhancing energy 548 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 2016 A Low-Voltage PLL With a Supply-Noise Compensated Feedforward Ring VCO Sung-Geun Kim, Jinsoo Rhim, Student Member,

More information

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 06, 2015 ISSN (online): 2321-0613 A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati

More information

A Low-Spur CMOS PLL Using Differential Compensation Scheme

A Low-Spur CMOS PLL Using Differential Compensation Scheme A Low-Spur CMOS PLL Using Differential Compensation Scheme Seok-Ju Yun, Kwi-Dong Kim, and Jong-Kee Kwon This paper proposes LC voltage-controlled oscillator (VCO) phase-locked loop (PLL) and ring-vco PLL

More information

WIDE tuning range is required in CMOS LC voltage-controlled

WIDE tuning range is required in CMOS LC voltage-controlled IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 5, MAY 2008 399 A Wide-Band CMOS LC VCO With Linearized Coarse Tuning Characteristics Jongsik Kim, Jaewook Shin, Seungsoo Kim,

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

MODERN AND future wireless systems are placing

MODERN AND future wireless systems are placing IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES 1 Wideband Planar Monopole Antennas With Dual Band-Notched Characteristics Wang-Sang Lee, Dong-Zo Kim, Ki-Jin Kim, and Jong-Won Yu, Member, IEEE Abstract

More information

A GHz Dual-Loop SAR-controlled Duty-Cycle Corrector Using a Mixed Search Algorithm

A GHz Dual-Loop SAR-controlled Duty-Cycle Corrector Using a Mixed Search Algorithm http://dx.doi.org/10.5573/jsts.2013.13.2.152 JURNAL F SEMICNDUCTR TECHNLGY AND SCIENCE, VL.13, N.2, APRIL, 2013 A 0.5 2.0 GHz DualLoop SARcontrolled DutyCycle Corrector Using a Mixed Search Algorithm Sangwoo

More information

Fall 2017 Project Proposal

Fall 2017 Project Proposal Fall 2017 Project Proposal (Henry Thai Hoa Nguyen) Big Picture The goal of my research is to enable design automation in the field of radio frequency (RF) integrated communication circuits and systems.

More information

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN 5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE 802.11a/b/g WLAN Manolis Terrovitis, Michael Mack, Kalwant Singh, and Masoud Zargari 1 Atheros Communications, Sunnyvale, California 1 Atheros

More information

Digital-Centric RF CMOS Technologies

Digital-Centric RF CMOS Technologies 1720 IEICE TRANS. ELECTRON., VOL.E91 C, NO.11 NOVEMBER 2008 INVITED PAPER Special Section on Microwave and Millimeter-wave Technologies Digital-Centric RF CMOS Technologies Akira MATSUZAWA a), Member SUMMARY

More information

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT Case5:08-cv-00877-PSG Document578-15 Filed09/17/13 Page1 of 11 EXHIBIT N ISSCC 2004 Case5:08-cv-00877-PSG / SESSION 26 / OPTICAL AND Document578-15 FAST I/O / 26.10 Filed09/17/13 Page2 of 11 26.10 A PVT

More information

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip

Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip Highly Reliable Frequency Multiplier with DLL-Based Clock Generator for System-On-Chip B. Janani, N.Arunpriya B.E, Dept. of Electronics and Communication Engineering, Panimalar Engineering College/ Anna

More information

A Low Power Single Phase Clock Distribution Multiband Network

A Low Power Single Phase Clock Distribution Multiband Network A Low Power Single Phase Clock Distribution Multiband Network A.Adinarayana Asst.prof Princeton College of Engineering and Technology. Abstract : Frequency synthesizer is one of the important elements

More information

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 3, MARCH

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 3, MARCH IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 3, MARCH 2012 143 A Time-to-Digital Converter Based on a Multiphase Reference Clock and a Binary Counter With a Novel Sampling

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 1 Design of Low Phase Noise Ring VCO in 45NM Technology Pankaj A. Manekar, Prof. Rajesh H. Talwekar Abstract: -

More information

Digitally Controlled Leakage-Based Oscillator and Fast Relocking MDLL for Ultra Low Power Sensor Platform

Digitally Controlled Leakage-Based Oscillator and Fast Relocking MDLL for Ultra Low Power Sensor Platform IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 50, NO. 5, MAY 2015 1 Digitally Controlled Leakage-Based Oscillator and Fast Relocking MDLL for Ultra Low Power Sensor Platform Dong-Woo Jee, Member, IEEE, Dennis

More information

Conference Guide IEEE International Symposium on Circuits and Systems. Rio de Janeiro, May 15 18, 2011

Conference Guide IEEE International Symposium on Circuits and Systems. Rio de Janeiro, May 15 18, 2011 2011 IEEE International Symposium on Circuits and Systems Rio de Janeiro, May 15 18, 2011 Conference Guide The Institute of Electrical and Eletronics Engineers IEEE Circuits and System s Society Federal

More information

A 5.99 GHZ INDUCTOR-LESS CURRENT CONTROLLED OSCILLATOR FOR HIGH SPEED COMMUNICATIONS

A 5.99 GHZ INDUCTOR-LESS CURRENT CONTROLLED OSCILLATOR FOR HIGH SPEED COMMUNICATIONS A 5.99 GHZ INDUCTOR-LESS CURRENT CONTROLLED OSCILLATOR FOR HIGH SPEED COMMUNICATIONS Chakaravarty D Rajagopal 1, Prof Dr.Othman Sidek 2 1,2 University Of Science Malaysia, 14300 NibongTebal, Penang. Malaysia

More information

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS Diary R. Sulaiman e-mail: diariy@gmail.com Salahaddin University, Engineering College, Electrical Engineering Department Erbil, Iraq Key

More information

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Engineering, Technology & Applied Science Research Vol. 7, No. 2, 2017, 1473-1477 1473 A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Hamidreza Esmaeili Taheri Department of Electronics

More information

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract

A 12-bit 100kS/s SAR ADC for Biomedical Applications. Sung-Chan Rho 1 and Shin-Il Lim 2. Seoul, Korea. Abstract , pp.17-22 http://dx.doi.org/10.14257/ijunesst.2016.9.8.02 A 12-bit 100kS/s SAR ADC for Biomedical Applications Sung-Chan Rho 1 and Shin-Il Lim 2 1 Department of Electronics and Computer Engineering, Seokyeong

More information

A fast lock-in all-digital phase-locked loop in 40-nm CMOS technology

A fast lock-in all-digital phase-locked loop in 40-nm CMOS technology LETTER IEICE Electronics Express, Vol.13, No.17, 1 10 A fast lock-in all-digital phase-locked loop in 40-nm CMOS technology Ching-Che Chung a) and Chi-Kuang Lo Department of Computer Science & Information

More information

A 1.25 GHz Low Power Multi-phase PLL Using Phase Interpolation between Two Complementary Clocks

A 1.25 GHz Low Power Multi-phase PLL Using Phase Interpolation between Two Complementary Clocks JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.6, DECEMBER, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.6.594 ISSN(Online) 2233-4866 A 1.25 GHz Low Power Multi-phase

More information

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, 2016 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2016.16.6.842 ISSN(Online) 2233-4866 A 82.5% Power Efficiency at 1.2 mw

More information

A Low Voltage Delta-Sigma Fractional Frequency Divider for Multi-band WSN Frequency Synthesizers

A Low Voltage Delta-Sigma Fractional Frequency Divider for Multi-band WSN Frequency Synthesizers Sensors & Transducers 2013 by IFSA http://www.sensorsportal.com A Low Voltage Delta-Sigma Fractional Frequency Divider for Multi-band WSN Frequency Synthesizers 1 Fan Xiangning, 2 Yuan Liang 1, 2 Institute

More information

GHz All-digital DLL for Mobile Memory Interface with Phase Sampling Window Adaptation to Reduce Jitter Accumulation

GHz All-digital DLL for Mobile Memory Interface with Phase Sampling Window Adaptation to Reduce Jitter Accumulation JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.411 ISSN(Online) 2233-4866 0.11-2.5 GHz All-digital DLL for Mobile

More information

Short Course On Phase-Locked Loops IEEE Circuit and System Society, San Diego, CA. Digital Frequency Synthesizers

Short Course On Phase-Locked Loops IEEE Circuit and System Society, San Diego, CA. Digital Frequency Synthesizers Short Course On Phase-Locked Loops IEEE Circuit and System Society, San Diego, CA Digital Frequency Synthesizers Michael H. Perrott September 6, 2009 Copyright 2009 by Michael H. Perrott All rights reserved.

More information

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University By: K. Tripurari, C. W. Hsu, J. Kuppambatti, B. Vigraham, P.R. Kinget Columbia University For

More information

A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications

A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications A Monotonic and Low-Power Digitally Controlled Oscillator Using Standard Cells for SoC Applications Duo Sheng, Ching-Che Chung, and Jhih-Ci Lan Department of Electrical Engineering, Fu Jen Catholic University,

More information

Fabricate a 2.4-GHz fractional-n synthesizer

Fabricate a 2.4-GHz fractional-n synthesizer University of Malaya From the SelectedWorks of Professor Mahmoud Moghavvemi Summer June, 2013 Fabricate a 2.4-GHz fractional-n synthesizer H Ameri Mahmoud Moghavvemi, University of Malaya a Attaran Available

More information

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 01, 2014 ISSN (online): 2321-0613 A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

The Oscillation Frequency of CML-based Multipath Ring Oscillators

The Oscillation Frequency of CML-based Multipath Ring Oscillators JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.6, DECEMER, 015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.015.15.6.671 ISSN(Online) 33-4866 The Oscillation Frequency of CML-based

More information

Design and noise analysis of a fully-differential charge pump for phase-locked loops

Design and noise analysis of a fully-differential charge pump for phase-locked loops Vol. 30, No. 10 Journal of Semiconductors October 2009 Design and noise analysis of a fully-differential charge pump for phase-locked loops Gong Zhichao( 宫志超 ) 1, Lu Lei( 卢磊 ) 1, Liao Youchun( 廖友春 ) 2,

More information

A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider. Hamid Rategh, Hirad Samavati, Thomas Lee

A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider. Hamid Rategh, Hirad Samavati, Thomas Lee A 5GHz, 32mW CMOS Frequency Synthesizer with an Injection Locked Frequency Divider Hamid Rategh, Hirad Samavati, Thomas Lee OUTLINE motivation introduction synthesizer architecture synthesizer building

More information

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band

More information

A Small-Area Solenoid Inductor Based Digitally Controlled Oscillator

A Small-Area Solenoid Inductor Based Digitally Controlled Oscillator http://dx.doi.org/10.5573/jsts.2013.13.3.198 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.13, NO.3, JUNE, 2013 A Small-Area Solenoid Inductor Based Digitally Controlled Oscillator Hyung-Gu Park,

More information

A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique

A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique Lei Lu, Lingbu Meng, Liang Zou, Hao Min and Zhangwen Tang Fudan University,

More information