LOW-VOLUME STACKABLE FLYBACK CONVERTER

Size: px
Start display at page:

Download "LOW-VOLUME STACKABLE FLYBACK CONVERTER"

Transcription

1 LOW-OLUME STACKABLE FLYBACK CONERTER WITH NEAR MINIMUM DEIATION CONTROLLER Aleksandar Radić, Adrian Straka and Aleksandar Prodić Laboratory for Power Management and Integrated Switch-Mode Power Supplies ECE Department, Uniersity of Toronto, Toronto, CANADA Abstract This paper introduces a flyback-based low-olume modular conerter and complementary mixed-signal controller that proide input oltage and put current sharing as well as near optimal transient response. This serial-input parallelput switch-mode power supply (SMPS) is well suited for high-step down ratio applications where, compared to a conentionally used multi-phase buck, it requires a smaller put filter olume, lower MOSFET blocking oltages, and proides better dynamic response. The stackable flyback also has better power processing efficiency and proides inherent passie current sharing. These adantages are achieed by utilizing low-oltage flyback cells and a noel implementation of minimum deiation control method. Experiments with a -to--, 4-A, 500kHz -cell stacked flyback conerter prototype show that, compared to an equialent - -phase conentional buck with approximately the same inductor olume, the introduced conerter has 4% smaller put capacitor, up to 40% lower power losses, and 33% faster transient response. the conentional buck, the SC conerters utilize lower-oltage switches, smaller reactie components and proide improed efficiency oer a certain conersion range. Howeer, the absence of the inductor that stores energy during oltage and ariations [5] affects power processing efficiency and put oltage regulation. The MLB achiees miniaturization of its put reactie components with the introduction of a oltage attenuating capacitor [3, 4] minimizing inductor oltage swing and components stress. Howeer, those solutions require larger number of switches and high side gate driers making their integration challenging. The main goal of this paper is to introduce stacked flyback conerter with near minimum deiation controller of Fig. that, compared to the conentional multi-phase buck solutions, I. INTRODUCTION The miniaturization of switch-mode power supplies (SMPS) is of a key importance for olume and price-sensitie electronic applications. In these applications, to reduce a relatiely high internal bus oltage to low oltage leels, required by digital processors, multi-phase buck conerters with a limited controller bandwidth [] are usually used. The multi-phase buck solutions proide effectie oltage regulation but, at the same time, their reactie components take a significant amount of the oerall deice olume and printed circuit board (PCB) area. To minimize the olume of the reactie components a number of solutions hae been proposed []-[4] as alternaties to the conentional multi-phase buck. Arguably, among the most interesting are the switched capacitor (SC) [] and the multi-leel buck (MLB) based solutions [3, 4]. Compared to This work of the Laboratory for Power Management and Integrated Switch-Mode Power Supplies is sponsored by Texas Instruments Inc., Dallas, Texas, United States. Figure. Low oltage stacked flyback conerter and complementary minimum deiation controller /4/$ IEEE 948

2 has smaller put filter olume and achiees better processing efficiency with suffering from the drawbacks existing in SC and MLB solutions. This modular conerter structure also proides better transient response and inherent passie current sharing eliminating the need for phase currents measurements and balancing circuits. II. PRINCIPLE OF OPERATION In the conerter of Fig. the input filter capacitor, ineitably existing in the targeted applications, is replaced with a capacitie diider and the inputs of flyback cells are connected to each of the diider taps, such that the oltage between the cells is shared. The puts of the flyback cells are connected in parallel allowing put current sharing. A two-mode digital controller goerns the operation of all modules. During steady state, the system operates as an interleaed oltage mode controlled system where a single multi-phase digital pulse width modulator (DPWM) [6] produces control signals c (t) to c k (t) for the cells. The duty ratios of the signals are identical and determined based on the DPWM input control d[n], which is calculated by the PID once per switching cycle, using the digital put error oltage alue, e[n]. During transients the controller enters transient suppression mode to quickly recoer from the disturbance. A. olume Reduction The olume reduction adantages of the stacked conerter oer the multi-phase buck conerter can be determined through analysis of the effect of the number of modules on each indiidual conerter. For the multi-phase buck, addition of each module results in lower current stress of the components. On the other hand, for the stacked flyback an increase in the number of modules has a three-fold effect. It reduces both the oltage and current stress of components and, in addition, minimizes the inductor oltage swing, allowing for the use of smaller inductance alues [3, 4]. In fact, for a sufficiently large number of modules the flyback conerter based topology will be smaller and more efficient than the conentional buck. Quantitatiely, the effect of the inductor oltage swing reduction on the conerter olume can be described by analyzing the expression for the flyback magnetizing inductance alue [7]: where is the put oltage, f sw is the switching frequency, k is the number of flyback cells, and i Lm is the ripple amplitude. It can be seen that as the number of modules increase the inductor alue reduces. This reduction in the inductance alue, in turn, also allows for the minimization of the put capacitor, whose size in the targeted application depends on the transient performance. This is because, as shown in the following section, the ratio of the maximum oltage deiations of the buck and the stacked flyback under optimal, i.e. fastest possible, control is directly proportional to the ratio of their inductance. The total reduction in the oerall conerter olume can be described with the following expressions for total minimum olume of reactie energy storage components and the diagram of Fig. showing the olume ratios of an interleaed buck and the stacked flyback modules: fb buck L m L i kd' i k (+ k = ρl + C ρ + L fb g buck g 3 ) k k C g ρ, () C ρ, (3) C >>k (4) L m = k + g i Lm f sw, () Figure. olume as a function of input-to-put oltage conersion ratio and number of phases. 949

3 where, fb is the olume of a flyback module, buck is olume of the buck module, while, ρ L and ρ C are the inductor and capacitor energy density alues, respectiely. The results in Fig. obtained for typical reactie component energy density alues [8], show that for step down ratios larger than eight, the two-module flyback structure already results in a smaller olume than that of the conentional buck. inm g = k R + k R R eq + k R eqm a, (5) B. Inherent Current Sharing and Tap oltage Sharing In conentional multi-phase dc-dc conerters current sharing is often required to proide equal current or thermal stress across all phases [9]. The practical implementation of the current sharing systems often requires costly dedicated circuits for sensing or estimation of phase currents and an additional control loop for regulating the process. The stacked flyback proides inherent current sharing eliminating the need for a dedicated circuit. To explain this feature of the conerter, its dc aeraged model of Fig.3 can be obsered. In this model switching and conduction losses of indiidual phases are modeled with their secondary side equialent resistances Req. Analysis of this equialent circuit reeals two important inherent characteristics of the stacked-flyback: equal current and tight input oltage sharing. By looking at the model it can be seen that, all the current sources on the primary side are connected in series and, therefore, they must hae the same input current independent on the phase ariations. Consequently, for the identical duty ratios, the secondary side phase currents, labeled as I to I k are the same. By soling the circuit of Fig.3 for the tap oltages, i.e. oltages across dependent current sources [7], it can be found that inm, i.e. the oltage across the m th the tap is: Figure 3. Equialent dc circuit model of the stacked flyback conerter with k flyback cells. where R eq_a is the aerage lumped-sum equialent resistance, and R is the put resistance. This expression shows that, for a properly designed conerter, where R >> R eq equal oltage sharing among the capacitor taps is practically achieed III. NEAR OPTIMUM DEIATION CONTROLLER One of the main drawbacks of conentionally controlled flyback conerters is relatiely slow transient response, mostly caused by the conentional compensator design and the presence of the right half plane zero. To eliminate this problem and allow the stackable flyback to be used in the targeted applications, where the transient response of the controller is of a key importance, a near-minimum deiation controller is deeloped. This controller utilizes a modification of the minimum deiation control method, presented in [0, ] where, for a gien conerter topology, the controller suppresses transients with minimum possible put oltage deiation using ery simple hardware and requiring no knowledge of conerter parameters. In this two-step method, as soon as a disturbance is detected, the controller enters the transient suppression mode. During this mode the new steady state alues of the inductor current and its ripple are reconstructed oer one switching cycle. As a result the effect of the transient on the put oltage is reersed and the deiation limited to its minimum possible alue. After the current reconstruction is completed the control task is passed to a conentional PID regulator recoering oltage to its reference alue. In this case, to simplify the controller implementation and allow only secondary side control, the optimum deiation method is modified and the current reconstruction is performed oer seeral cycles, by monitoring polarity of the put capacitor current. Still, as it will be shown later, the transient performance of this system are better than that of the optimum-deiation controlled buck. A. Light-to-Heay Transient During light-to-heay transients the recoery is performed through a simple repetitie charge and check based procedure. This procedure can be explained with the help of diagrams shown in Figs. 4 and 5. For simplicity, the diagrams are shown 950

4 Figure 4. Finite state machine for light-to-heay and heay-to-light transients. for a -module case. As soon as the transient is detected, at t = t 0, the main switches of the flyback cells are turned on oer t on =DT sw period (labelled c in Fig. 5) and the inductor current is ramped up. At the end of the charging period, the MS transistors are turned off (SRs turned on) and the coupled inductors are discharged into the put node (labelled d in Fig. 5). During the discharging phase the polarity of the capacitor current is monitored by the controller. If a negatie current is detected within a time period equal to t min, the inductor charging mode (c) is reactiated. The charging and discharging procedure is repeated until a positie capacitie current alue is detected. This only occurs when the inductor current is larger than that of the, i.e. sufficiently high to reerse capacitor discharge and start the oltage recoery process. At that point the PID compensator is reactiated and the oltage is recoered to its reference alue in a monotonic fashion. B. Heay-to-Light Transient During heay-to-light transients the transient suppression logic operates as a non-modified minimum deiation controller [0]. After a transient is detected, at t=t, the SRs are turned on and the coupled inductors discharged into the put capacitor until the zero capacitor current crossing is detected. At that point initial alues of the duty ratios are reset, as described in [9], and the PID is reactiated. Figure 5. Main current and oltage waeforms during light-to-heay and heay-to-light transients. 95

5 Figure 6. Response to a 0.5-A.5-A 0.5-A step of the stacked flyback cell (left) and buck conerter phase (right). Ch.: Output oltage (t), 0m/di; Ch. : Inductor current i L(t), A/di; The time scale is 5µs/di for both waeforms. I. EXPERIMENTAL RESULTS To erify the conerter and controller an experimental prototype of a stackable flyback is created based on Figs., 4 and 5. The performances of this conerter are compared to that of a conentional buck. The prototype is designed to operate with or 3 cells. Each cell was designed for A maximum put current, 6 input oltage, -.5 put oltage and 500 khz switching frequency. The power stages are formed of discrete components, while the controller is based on a field-programmable gate array system (FPGA) and discrete components. The zero current detection circuit has self-tuning capability and utilizes simple design shown in []. The power stages also include actie snubber circuits [3]. The flyback inductors with : turns ratio hae magnetizing inductances of 3.3 µh [4]. The put capacitor alue of 40 µf is selected, ensuring less than 00 m oltage deiation during the worst case transient. Using the principles lined in subsection II.A, the buck conerter reactie components are selected such that the olume of the reactie components, related to the Li and C products, and inductor current ripple are the same for both conerters. The inductor size for such an optimization is 4.7 µh, assuming k =, the put capacitor 40 µf and the switching frequency 390 khz. In Fig. 6, the responses to a 0.5-A.5-A 0.5-A step (per cell) for a --to-- two-cell stacked flyback experimental prototype and two-phase buck conerter are compared. From Fig. 6 it can be seen that a 4% smaller oltage deiation and 33% shorter settling can be achieed with the stacked flyback, while utilizing a similar olume inductors. The improed put oltage deiation enables a similar reduction of the put capacitor size. Figure 7 plots the power processing efficiency (left) of the Figure 7. Power processing efficiency (left) the //3 Cell stacked flyback and -phase buck conerter prototypes and input capacitor oltages (right) of the stacked flyback conerter with respect to the put current. 95

6 stacked flyback and buck conerter experimental prototypes and the input capacitor oltage sharing (right) of the stacked flyback conerter. Compared to the -phase buck conerter, the -cell stacked flyback deliers up to 6.5% better power processing efficiency, i.e. 40% lower power losses. These results can allow for a further increase in switching frequency and thus an additional reduction of the inductor olume for the stacked flyback. Furthermore, tight passie input oltage sharing is maintained across the entire range of put leels, within 6% of the nominal alues. Also, near-linear efficiency scaling is obsered with respect to the number of cells.. CONCLUSIONS The paper introduced a modular solution for high step down conersion ratio applications that combines a stacked flyback conerter and noel near optimal deiation controller. For high step down ratios and relatiely small number of modules this topology requires smaller olume of reactie components than an equialent multi-phase buck and results in better power processing efficiency. This is due to three-fold effect of modularization that at the same time causes current sharing, oltage stress reduction, and inductor alue minimization. The near optimum deiation controller eliminates slow dynamic response problem characteristic for conentional flyback solutions and proides smaller oltage deiation than that of an optimally controlled buck. DC-DC conersion technologies," Control and Modeling for Power Electronics (COMPEL), 00 IEEE th Workshop on, ol., no., pp.-7, 8-30 June 00. [9] Z. Lukić, Design and Practical Implementation of Adanced Reconfigurable Digital Controllers for Low-Power Multi-Phase DC-DC Conerters. PhD thesis, Uniersity of Toronto, Canada, 0. [0] A. Radić, Z. Lukić, A. Prodić, and R. de Nie, "Minimum Deiation Digital Controller IC for DC-DC Switch-Mode Power Supplies," Power Electronics, IEEE Transactions on, ol.8, no.9, pp , Sept 03. [] M.M. Peretz, Hybrid Control Method for Optimal Transient Response and Output Filter Minimization for Buck-Boost type Conerters, PCIM- 03 Nuremberg, pp , May 03. [] A. Radić, D. Baik, A. Straka, A. Prodić, and R. de Nie, "Noninasie self-tuning put capacitor time constant estimator for low power digitally controlled dc-dc conerters," Applied Power Electronics Conference and Exposition (APEC), 03 Twenty-Fifth Annual IEEE, pp , 7- Mar. 03. [3] R. Watson, F.C. Lee, and G.-C. Hua, "Utilization of an actie-clamp circuit to achiee soft switching in flyback conerters," Power Electronics, IEEE Transactions on, ol., no., pp.6-69, Jan 996 [4] LPD MEB 3.3 µh Coupled Inductor Datasheet, Coilcraft Inc., Cary, IL, 06/03. REFERENCES [] oltage Regulator Module (RM) and Enterprise oltage Regulator- Down (ERD). Design Guidelines, Intel Corporation, Santa Clara, CA, Sept 009. []. W. Ng, M. D. Seeman, and S. R. Sanders, Minimum PCB footprint point-of- DC DC conerter realized with switched-capacitor architecture, in Proc. IEEE Energy Coners. Congr. Expo., pp , Feb [3] R. C. N. Pilawa-Podgurski, D. M. Giuliano, and D. J. Perreault, Merged two-stage power conerter architecture with soft charging switched capacitor energy transfer, in Proc. IEEE Power Electron. Spec. Conf., pp , Jun [4] T.A. Meynard, H. Foch, P. Thomas, J. Courault, R. Jakob, and M. Nahrstaedt, "Multicell conerters: basic concepts and industry applications," Industrial Electronics, IEEE Transactions on, ol.49, no.5, pp , Oct 00. [5] M. Shoyama, T. Naka, and T. Ninomiya, Resonant switched capacitor conerter with high efficiency, in Proc. IEEE Power Electron. Spec. Conf., pp , Jun [6] A.. Peterche, Jinwen Xiao, and S.R. Sanders, "Architecture and IC Implementation of a Digital RM Controller", IEEE Transactions on Power Electronics, ol. 8, no., pt., pp , Jan, 003. [7] R. W. Erickson and D. Maksimoić, Fundamentals of Power Electronics. New York, NY:Springer Sience+Business Media Inc., 00. [8] M.D. Seeman,.W. Ng, Le Hanh-Phuc, M. John, E. Alon, and S.R. Sanders, "A comparatie analysis of Switched-Capacitor and inductor-based 953

Electronic Instrumentation Experiment 6 -- Digital Switching

Electronic Instrumentation Experiment 6 -- Digital Switching 1 Electronic Instrumentation Experiment 6 -- Digital Switching Part A: Transistor Switches Part B: Comparators and Schmitt Triggers Part C: Digital Switching Part D: Switching a elay Part A: Transistors

More information

Limit-Cycle Based Auto-Tuning System for Digitally Controlled Low-Power SMPS

Limit-Cycle Based Auto-Tuning System for Digitally Controlled Low-Power SMPS Limit-Cycle Based Auto-Tuning System for Digitally Controlled Low-Power SMPS Zhenyu Zhao, Huawei Li, A. Feizmohammadi, and A. Prodic Laboratory for Low-Power Management and Integrated SMPS 1 ECE Department,

More information

Amplifiers with Negative Feedback

Amplifiers with Negative Feedback 13 Amplifiers with Negatie Feedback 335 Amplifiers with Negatie Feedback 13.1 Feedback 13.2 Principles of Negatie Voltage Feedback In Amplifiers 13.3 Gain of Negatie Voltage Feedback Amplifier 13.4 Adantages

More information

ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS

ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS Aleksandar Radić, S. M. Ahsanuzzaman, Amir Parayandeh, and Aleksandar Prodić

More information

Adaptive Saturation Scheme to Limit the Capacity of a Shunt Active Power Filter

Adaptive Saturation Scheme to Limit the Capacity of a Shunt Active Power Filter Proceedings of the 005 IEEE Conference on Control Applications Toronto, Canada, August 8-3, 005 WC5. Adaptie Saturation Scheme to Limit the Capacity of a Shunt Actie Power Filter Ting Qian, Brad Lehman,

More information

Chapter 6: Operational Amplifier (Op Amp)

Chapter 6: Operational Amplifier (Op Amp) Chapter 6: Operational Amplifier (Op Amp) 6.1 What is an Op Amp? 6.2 Ideal Op Amp 6.3 Nodal Analysis of Circuits with Op Amps 6.4 Configurations of Op Amp 6.5 Cascaded Op Amp 6.6 Op Amp Circuits & Linear

More information

DESIGN OF HIGH FREQUENCY ISOLATION TRANSFORMER USING MATRIC CONVERTER

DESIGN OF HIGH FREQUENCY ISOLATION TRANSFORMER USING MATRIC CONVERTER DESIGN OF HIGH FREQUENCY ISOLATION TRANSFORMER USING MATRIC CONVERTER College: SRM UNIVERSITY, CHENNAI Dept:Electrical and Electronics. Batch Members Guide faculty Mr. Anish Raj 1 Mr. K. Venkatasubramani

More information

Simulation and Performance Evaluation of Shunt Hybrid Power Filter for Power Quality Improvement Using PQ Theory

Simulation and Performance Evaluation of Shunt Hybrid Power Filter for Power Quality Improvement Using PQ Theory International Journal of Electrical and Computer Engineering (IJECE) Vol. 6, No. 6, December 016, pp. 603~609 ISSN: 088-8708, DOI: 10.11591/ijece.6i6.1011 603 Simulation and Performance Ealuation of Shunt

More information

Chapter 6: Converter circuits

Chapter 6: Converter circuits hapter 6. onerter ircuits 6.. ircuit manipulations 6.. A short list of conerters 6.3. Transformer isolation 6.4. onerter ealuation and design 6.5. Summary of key points Where do the boost, buck-boost,

More information

CHAPTER 3 DESIGN OF A PV-UPQC SYSTEM FOR VOLTAGE SAG AND SWELL COMPENSATION

CHAPTER 3 DESIGN OF A PV-UPQC SYSTEM FOR VOLTAGE SAG AND SWELL COMPENSATION 21 CHAPTER 3 DESIGN OF A PV-UPQC SYSTEM FOR VOLTAGE SAG AND SWELL COMPENSATION INTRODUCTION The recent increase in the use of non-linear loads creates many power quality problems such as oltage sag, swell

More information

Non-Isolated High Gain Buck Boost DC-DC Converters Adopting Switched Capacitor Cell for WSN Applications

Non-Isolated High Gain Buck Boost DC-DC Converters Adopting Switched Capacitor Cell for WSN Applications IJSTE International Journal of Science Technology & Engineering Volume Issue April 6 ISSN (online): 349784X NonIsolated High Gain Buck Boost DCDC Conerters Adopting Switched Capacitor Cell for WSN Applications

More information

A New Method of APWM Resonant Inverter Topology for High Frequency AC Power Distribution Systems

A New Method of APWM Resonant Inverter Topology for High Frequency AC Power Distribution Systems Int. J. Adanced Networking and Applications 846 Volume: 02, Issue: 05, Pages: 846853 (2011) A New Method of APWM Resonant Inerter Topology for High Frequency AC Power Distribution Systems S.Arumugam Research

More information

DIGITAL controllers that can be fully implemented in

DIGITAL controllers that can be fully implemented in 500 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 23, NO. 1, JANUARY 2008 Programmable Analog-to-Digital Converter for Low-Power DC DC SMPS Amir Parayandeh, Student Member, IEEE, and Aleksandar Prodić,

More information

EE3301 Experiment 5 A BRIDGE RECTIFIER POWER SUPPLY

EE3301 Experiment 5 A BRIDGE RECTIFIER POWER SUPPLY Fall 2000 Releant sections of textbook: Chapter 10 Output Stages and Power Supplies 10.5 inear oltage regulators 10.6 inear-power-supply design EE3301 Experiment 5 A BRIDGE RECTIFIER POWER SUPPY 1 Introduction

More information

Complementary Metal-Oxide-Semiconductor Field-Effect Transistor Circuits

Complementary Metal-Oxide-Semiconductor Field-Effect Transistor Circuits ntroduction to Electronic Circuits: A esign-oriented Approach Jose ila-martinez and Marin Onabajo Chapter Complementary Metal-Oxide-emiconductor Field-Effect ransistor Circuits Complementary Metal-Oxide

More information

Paralleled three-phase inverters

Paralleled three-phase inverters Paralleled three-phase inerters Hoff, E., Skjellnes, T. & Norum, L. Department of Electrical Power Engineering, Norwegian Uniersity of Science and Technology, NTNU 749 Trondheim, NORWAY Phone (+47) 73

More information

4.2 Direct AC/AC Conversion with PWM AC Choppers

4.2 Direct AC/AC Conversion with PWM AC Choppers 4 Chapter 4 ingle-phase TATCOM with 3.3k IGCT based tep-down PWM AC Choppers 4.1 Introduction The use of direct AC/AC conersion PWM AC Choppers for single-phase reactie power compensation (capacitie operation)

More information

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads

Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads 006 IEEE COMPEL Workshop, Rensselaer Polytechnic Institute, Troy, NY, USA, July 6-9, 006 Digital Pulse-Frequency/Pulse-Amplitude Modulator for Improving Efficiency of SMPS Operating Under Light Loads Nabeel

More information

A Series Active Power Filter Controlled by Personal Computer

A Series Active Power Filter Controlled by Personal Computer A Series Actie Power Filter Controlled by Personal Computer M. João Sepúleda Freitas, João L. Afonso, Júlio S. Martins Department of Industrl Electrons Uniersity of Minho Campus of Azurém 4800-058 Guimarães

More information

Chapter 5 Bipolar Amplifiers. EE105 - Spring 2007 Microelectronic Devices and Circuits. Bipolar Amplifiers. Voltage Amplifier

Chapter 5 Bipolar Amplifiers. EE105 - Spring 2007 Microelectronic Devices and Circuits. Bipolar Amplifiers. Voltage Amplifier EE05 - Spring 2007 Microelectronic Deices and ircuits hapter 5 Bipolar mplifiers 5. General onsiderations 5.2 Operating Point nalysis and Design 5.3 Bipolar mplifier Topologies 5.4 Summary and dditional

More information

An Analysis of Interleaved Boost Converter with LC Coupled Enhanced Soft Switching

An Analysis of Interleaved Boost Converter with LC Coupled Enhanced Soft Switching American Journal of Applied Sciences, (4): 33-343, 3 ISSN: 546-939 3 R. Vijayabhasker et al., This open access article is distributed under a Creatie Commons Attribution (CC-BY) 3. license doi:.3844/ajassp.3.33.343

More information

A Novel Fundamental Current Reference I d I q Theory Based DSTATCOM for Compensation of Reactive Power and Harmonics

A Novel Fundamental Current Reference I d I q Theory Based DSTATCOM for Compensation of Reactive Power and Harmonics I J C T A, 0(5) 07, pp. 77-88 International Science Press A Noel Fundamental Current Reference I d I q Theory Based DSTATCOM for Compensation of Reactie Power and Harmonics Ch. Sri Prakash * and Kesaa

More information

PHASE-LOCKED LOOP FOR AC SYSTEMS: ANALYSES AND COMPARISONS

PHASE-LOCKED LOOP FOR AC SYSTEMS: ANALYSES AND COMPARISONS PHASE-LOCKED LOOP FOR AC SYSTEMS: ANALYSES AND COMPARISONS Siyu Gao*, Mike Barnes* *The Uniersity of Manchester, Oxford Road, Manchester,M13 9PL, UK siyu.gao@postgrad.manchester.ac.uk Keywords: phase-locked

More information

The Technology Behind the World s Smallest 12V, 10A Voltage Regulator

The Technology Behind the World s Smallest 12V, 10A Voltage Regulator The Technology Behind the World s Smallest 12V, 10A Voltage Regulator A low profile voltage regulator achieving high power density and performance using a hybrid dc-dc converter topology Pradeep Shenoy,

More information

Plug-and-Play Digital Controllers for Scalable Low-Power SMPS

Plug-and-Play Digital Controllers for Scalable Low-Power SMPS Plug-and-Play Digital Controllers for Scalable Low-Power SMPS Jason Weinstein and Aleksandar Prodić Laboratory for Low-Power Management and Integrated SMPS Department of Electrical and Computer Engineering

More information

Conventional Single-Switch Forward Converter Design

Conventional Single-Switch Forward Converter Design Maxim > Design Support > Technical Documents > Application Notes > Amplifier and Comparator Circuits > APP 3983 Maxim > Design Support > Technical Documents > Application Notes > Power-Supply Circuits

More information

An Innovative Bidirectional Isolated Multi-Port Converter with Multi-Phase AC Ports and DC Ports

An Innovative Bidirectional Isolated Multi-Port Converter with Multi-Phase AC Ports and DC Ports An Innoatie Bidirectional Isolated Multi-Port Conerter with Multi-Phase Ports and DC Ports F. Jauch, J. Biela Laboratory for High Power Electronic Systems, ETH Zurich Physikstrasse 3, CH-892 Zurich, Switzerland

More information

A Low-Power Mixed-Signal Current-Mode DC-DC Converter Using a One-Bit Σ DAC

A Low-Power Mixed-Signal Current-Mode DC-DC Converter Using a One-Bit Σ DAC A Low-Power Mixed-Signal Current-Mode DC-DC Converter Using a One-Bit Σ DAC Olivier Trescases, Zdravko Lukić, Wai Tung Ng and Aleksandar Prodić ECE Department, University of Toronto 10 King s College Road,

More information

CHAPTER 2 DESIGN AND MODELING OF POSITIVE BUCK BOOST CONVERTER WITH CASCADED BUCK BOOST CONVERTER

CHAPTER 2 DESIGN AND MODELING OF POSITIVE BUCK BOOST CONVERTER WITH CASCADED BUCK BOOST CONVERTER 17 CHAPTER 2 DESIGN AND MODELING OF POSITIVE BUCK BOOST CONVERTER WITH CASCADED BUCK BOOST CONVERTER 2.1 GENERAL Designing an efficient DC to DC buck-boost converter is very much important for many real-time

More information

Magnetic Coupled Sepic Rectifier with Voltage Multiplier using PID Conroller for SMPS

Magnetic Coupled Sepic Rectifier with Voltage Multiplier using PID Conroller for SMPS International Journal of ChemTech Research CODEN (USA): IJCRGG, ISSN: 0974-4290, ISSN(Online):2455-9555 Vol.10 No.5, pp 513-519, 2017 Magnetic Coupled Sepic Rectifier with Voltage Multiplier using PID

More information

High Power Density Power Management IC Module with On-Chip Inductors

High Power Density Power Management IC Module with On-Chip Inductors Laboratory for Power Management and Integrated SMPS High Power Density Power Management IC Module with On-Chip Inductors S M Ahsanuzzaman (Ahsan) Aleksandar Prodić David A. Johns Zoran Pavlović Ningning

More information

INTERACTIVE FLEXIBLE SWITCH MODE POWER SUPPLIES FOR REDUCING VOLUME AND IMPROVING EFFICIENCY

INTERACTIVE FLEXIBLE SWITCH MODE POWER SUPPLIES FOR REDUCING VOLUME AND IMPROVING EFFICIENCY INTERACTIVE FLEXIBLE SWITCH MODE POWER SUPPLIES FOR REDUCING VOLUME AND IMPROVING EFFICIENCY by S M Ahsanuzzaman A thesis submitted in conformity with the requirements for the degree of Master of Applied

More information

Digital Controller for High-Frequency Rectifiers with Power Factor Correction Suitable for

Digital Controller for High-Frequency Rectifiers with Power Factor Correction Suitable for Digital Controller for High-Frequency Rectifiers with Power Factor Correction Suitable for On-Chip Implementation Aleksandar Prodic Laboratory for Low-Power Management and Integrated SMPS ECE Department-

More information

A Cascaded Hybrid Inverter with Improved DC-Link Voltage Control for Grid Connected Systems

A Cascaded Hybrid Inverter with Improved DC-Link Voltage Control for Grid Connected Systems A Cascaded Hybrid Inerter with Improed DCLink Voltage Control for Grid Connected ystems T.Wanjekeche, A.A.Jimoh and D.V. Nicolae Department of Electrical Engineering Tshwane Uniersity of Technology wanjekeche@yahoo.com,

More information

ELG 2135 ELECTRONICS I FOURTH CHAPTER : BIPOLAR JUNCTION TRANSISTORS

ELG 2135 ELECTRONICS I FOURTH CHAPTER : BIPOLAR JUNCTION TRANSISTORS ELG 2135 ELECTRONICS I FOURTH CHAPTER : BIPOLAR JUNCTION TRANSISTORS Session WINTER 2003 Dr M. YAGOUB Fourth Chapter: Bipolar Junction Transistors IV - 2 _ Haing studied the junction diode, which is the

More information

Course Outline. 4. Chapter 5: MOS Field Effect Transistors (MOSFET) 5. Chapter 6: Bipolar Junction Transistors (BJT)

Course Outline. 4. Chapter 5: MOS Field Effect Transistors (MOSFET) 5. Chapter 6: Bipolar Junction Transistors (BJT) Course Outline 1. Chapter 1: Signals and Amplifiers 1 2. Chapter 3: Semiconductors 3. Chapter 4: Diodes 4. Chapter 5: MOS Field Effect Transistors (MOSFET) 5. Chapter 6: Bipolar Junction Transistors (BJT)

More information

Chapter 11 Operational Amplifiers and Applications

Chapter 11 Operational Amplifiers and Applications Chapter Operational Amplifiers and Applications Chapter Goals Understand the magic of negatie feedback and the characteristics of ideal op amps. Understand the conditions for non-ideal op amp behaior so

More information

Implementation of a Single-phase Unipolar Inverter Using DSP TMS320F241

Implementation of a Single-phase Unipolar Inverter Using DSP TMS320F241 U J.T. 8(4): 995 (pr. 25) Implementation of a Singlephase Unipolar Inerter Using DSP TMS32F24 Narong phiratsakun, Sanjia ao Bhaganagarapu and Kittiphan Techakittiroj Faculty of Engineering, ssumption Uniersity

More information

A HIGHLY EFFICIENT ISOLATED DC-DC BOOST CONVERTER

A HIGHLY EFFICIENT ISOLATED DC-DC BOOST CONVERTER A HIGHLY EFFICIENT ISOLATED DC-DC BOOST CONVERTER 1 Aravind Murali, 2 Mr.Benny.K.K, 3 Mrs.Priya.S.P 1 PG Scholar, 2 Associate Professor, 3 Assistant Professor Abstract - This paper proposes a highly efficient

More information

IN MODERN low-power applications such as mobile devices,

IN MODERN low-power applications such as mobile devices, 970 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 28, NO. 2, FEBRUARY 2013 Mixed-Signal-Controlled Flyback-Transformer- Based Buck Converter With Improved Dynamic Performance and Transient Energy Recycling

More information

International Journal of Scientific Engineering and Applied Science (IJSEAS) - Volume-1, Issue-8,November 2015 ISSN:

International Journal of Scientific Engineering and Applied Science (IJSEAS) - Volume-1, Issue-8,November 2015 ISSN: Design, Analysis and Implementation of Tapped Inductor Boost Converter for Photovoltaic Applications M.Vageesh*, R. Rahul*, Dr.R.Seyezhai** & Yash Oza* * UG Students, Department of EEE, SSN College of

More information

A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications

A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications Jinwen Xiao Angel Peterchev Jianhui Zhang Prof. Seth Sanders Power Electronics Group Dept. of

More information

AC Voltage Standards With Quantum Traceability

AC Voltage Standards With Quantum Traceability NPL Electromagnetics day 29/11/2007 AC Voltage Standards With Quantum Traceability Kein Marshall, Dale Henderson, Prain Patel and Jonathan Williams. Background To Quantum Voltage Metrology Existing DC

More information

Implementing a Three Phase Nine-Level Cascaded Multilevel Inverter with low Harmonics Values

Implementing a Three Phase Nine-Level Cascaded Multilevel Inverter with low Harmonics Values Proceedings of the th International Middle East Power Systems Conference (MEPCON 0), Cairo Uniersity, Egypt, December 9-, 00, Paper ID 9. Implementing a Three Phase Nine-Leel Cascaded Multileel Inerter

More information

LOW-VOLUME BUCK CONVERTER WITH ADAPTIVE INDUCTOR CORE BIASING

LOW-VOLUME BUCK CONVERTER WITH ADAPTIVE INDUCTOR CORE BIASING LOW-VOLUME BUCK CONVERTER WITH ADAPTIVE INDUCTOR CORE BIASING S. M. Ahsanuzzaman, Timothy McRae, Mor M. Peretz, Aleksandar Prodić Laboratory of Power Management and Integrated SMPS, ECE Department, University

More information

Proposed DPWM Scheme with Improved Resolution for Switching Power Converters

Proposed DPWM Scheme with Improved Resolution for Switching Power Converters Proposed DPWM Scheme with Improved Resolution for Switching Power Converters Yang Qiu, Jian Li, Ming Xu, Dong S. Ha, Fred C. Lee Center for Power Electronics Systems Virginia Polytechnic Institute and

More information

A Novel Bridgeless Single-Stage Half-Bridge AC/DC Converter

A Novel Bridgeless Single-Stage Half-Bridge AC/DC Converter A Novel Bridgeless Single-Stage Half-Bridge AC/DC Converter Woo-Young Choi 1, Wen-Song Yu, and Jih-Sheng (Jason) Lai Virginia Polytechnic Institute and State University Future Energy Electronics Center

More information

Chapter Goal. Zulfiqar Ali

Chapter Goal. Zulfiqar Ali Chapter Goal Understand behaior and characteristics of ideal differential and op amps. Demonstrate circuit analysis techniques for ideal op amps. Characterize inerting, non-inerting, summing and instrumentation

More information

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 15.7 A 4µA-Quiescent-Current Dual-Mode Buck Converter IC for Cellular Phone Applications Jinwen Xiao, Angel Peterchev, Jianhui Zhang, Seth Sanders

More information

SWITCHING AND REDUCTION OF COMMON MODE VOLTAGE OF MULTILEVEL- H-CASCADED CONVERTER FOR MEDIUM VOLTAGES

SWITCHING AND REDUCTION OF COMMON MODE VOLTAGE OF MULTILEVEL- H-CASCADED CONVERTER FOR MEDIUM VOLTAGES 1 SWITCHING AND REDUCTION OF COMMON MODE VOLTAGE OF MULTILEVEL- H-CASCADED CONVERTER FOR MEDIUM VOLTAGES AUTHOR: MUHAMMAD JAMIL Faculty of Electrical Engineering and Information Technology, Chemnitz Uniersity

More information

Designing Of Bidirectional Dc-Dc Converter For High Power Application With Current Ripple Reduction Technique

Designing Of Bidirectional Dc-Dc Converter For High Power Application With Current Ripple Reduction Technique Designing Of Bidirectional Dc-Dc Converter For High Power Application With Current Ripple Reduction Technique Vemu.Gandhi, Sadik Ahamad Khan PG Scholar, Assitent Professor NCET,Vijayawada, Abstract-----

More information

THE TWO TRANSFORMER active reset circuits presented

THE TWO TRANSFORMER active reset circuits presented 698 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: FUNDAMENTAL THEORY AND APPLICATIONS, VOL. 44, NO. 8, AUGUST 1997 A Family of ZVS-PWM Active-Clamping DC-to-DC Converters: Synthesis, Analysis, Design, and

More information

Design of a Low-Light-Level Image Sensor with On-Chip Sigma-Delta Analog-to-Digital Conversion

Design of a Low-Light-Level Image Sensor with On-Chip Sigma-Delta Analog-to-Digital Conversion Design of a Low-Light-Leel Image Sensor with On-hip Sigma-Delta Analog-to-Digital onersion Sunetra K. Mendis, Bedabrata Pain olumbia Uniersity, New York, NY 7 Robert H. Nixon, Eric R. Fossum Jet Propulsion

More information

DC-DC Transformer Multiphase Converter with Transformer Coupling for Two-Stage Architecture

DC-DC Transformer Multiphase Converter with Transformer Coupling for Two-Stage Architecture DC-DC Transformer Multiphase Converter with Transformer Coupling for Two-Stage Architecture M.C.Gonzalez, P.Alou, O.Garcia,J.A. Oliver and J.A.Cobos Centro de Electrónica Industrial Universidad Politécnica

More information

A Double ZVS-PWM Active-Clamping Forward Converter: Analysis, Design, and Experimentation

A Double ZVS-PWM Active-Clamping Forward Converter: Analysis, Design, and Experimentation IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 16, NO. 6, NOVEMBER 2001 745 A Double ZVS-PWM Active-Clamping Forward Converter: Analysis, Design, and Experimentation René Torrico-Bascopé, Member, IEEE, and

More information

Forward with Active Clamp for space applications: clamp capacitor, dynamic specifications and EMI filter impact on the power stage design

Forward with Active Clamp for space applications: clamp capacitor, dynamic specifications and EMI filter impact on the power stage design Forward with Active Clamp for space applications: clamp capacitor, dynamic specifications and EMI filter impact on the power stage design G. Salinas, B. Stevanović, P. Alou, J. A. Oliver, M. Vasić, J.

More information

Reduction of DC-link current harmonics for Three-phase VSI over Wide Power Factor Range using Single-Carrier-Comparison Discontinuous PWM

Reduction of DC-link current harmonics for Three-phase VSI over Wide Power Factor Range using Single-Carrier-Comparison Discontinuous PWM Reduction of DC-link current harmonics for Three-phase VSI oer Wide Power Factor Range using Single-Carrier-Comparison Discontinuous PWM Koroku Nishizawa, Jun-ichi Itoh, Akihiro Odaka, Akio Toba, and Hidetoshi

More information

Voltage Sag and Mitigation Using Algorithm for Dynamic Voltage Restorer by PQR Transformation Theory

Voltage Sag and Mitigation Using Algorithm for Dynamic Voltage Restorer by PQR Transformation Theory International Journal of Engineering Inentions ISSN: 78-746, www.ijeijournal.com olume, Issue 5 (September0) PP: 47-55 oltage Sag and Mitigation Using Algorithm for Dynamic oltage Restorer by PQR Transformation

More information

SINGLE-STAGE HIGH-POWER-FACTOR SELF-OSCILLATING ELECTRONIC BALLAST FOR FLUORESCENT LAMPS WITH SOFT START

SINGLE-STAGE HIGH-POWER-FACTOR SELF-OSCILLATING ELECTRONIC BALLAST FOR FLUORESCENT LAMPS WITH SOFT START SINGLE-STAGE HIGH-POWER-FACTOR SELF-OSCILLATING ELECTRONIC BALLAST FOR FLUORESCENT S WITH SOFT START Abstract: In this paper a new solution to implement and control a single-stage electronic ballast based

More information

Behavioral Analysis of Three stage Interleaved Synchronous DC-DC Converter for VRM Applications

Behavioral Analysis of Three stage Interleaved Synchronous DC-DC Converter for VRM Applications Behavioral Analysis of Three stage Interleaved Synchronous DC-DC Converter for VRM Applications Basavaraj V. Madiggond#1, H.N.Nagaraja*2 #M.E, Dept. of Electrical and Electronics Engineering, Jain College

More information

Design Considerations for 12-V/1.5-V, 50-A Voltage Regulator Modules

Design Considerations for 12-V/1.5-V, 50-A Voltage Regulator Modules 776 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 16, NO. 6, NOVEMBER 2001 Design Considerations for 12-V/1.5-V, 50-A Voltage Regulator Modules Yuri Panov and Milan M. Jovanović, Fellow, IEEE Abstract The

More information

A New Model for Timing Jitter Caused by Device Noise in Current-Mode Logic Frequency Dividers

A New Model for Timing Jitter Caused by Device Noise in Current-Mode Logic Frequency Dividers A New Model for Timing Jitter Caused by eice Noise in Current-Mode Logic Frequency iiders Marko Aleksic, Nikola Nedoic 1, K. Wayne Current and Vojin G. Oklobdzija epartment of Electrical and Computer Engineering,

More information

Power Quality Enhancement in Variable Frequency Drive using Hybrid Filters- An Shunt Passive and Series Active Combination

Power Quality Enhancement in Variable Frequency Drive using Hybrid Filters- An Shunt Passive and Series Active Combination Power Quality Enhancement in Variable Frequency Drie using Hybrid Filters n Shunt Passie and Series ctie ombination harles S, Member EEE Sri Shakthi Institute of Engineering and Technology, / Electrical

More information

Elimination of Voltage Sag/Swell using Dynamic Voltage Restorer R.Kalaivani 1 K.Arunvishnu 2 M.G.Jakir Hussain 3 R.Lokeshwaran 4 M.

Elimination of Voltage Sag/Swell using Dynamic Voltage Restorer R.Kalaivani 1 K.Arunvishnu 2 M.G.Jakir Hussain 3 R.Lokeshwaran 4 M. International Journal for Research in Technological Studies Vol. 3, Issue 4, March 206 ISSN (online): 2348439 Elimination of Voltage Sag/Swell using Dynamic Voltage Restorer R.Kalaiani K.runishnu 2 M.G.Jakir

More information

Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter

Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter Chapter 3 : Closed Loop Current Mode DC\DC Boost Converter 3.1 Introduction DC/DC Converter efficiently converts unregulated DC voltage to a regulated DC voltage with better efficiency and high power density.

More information

The Feedback PI controller for Buck-Boost converter combining KY and Buck converter

The Feedback PI controller for Buck-Boost converter combining KY and Buck converter olume 2, Issue 2 July 2013 114 RESEARCH ARTICLE ISSN: 2278-5213 The Feedback PI controller for Buck-Boost converter combining KY and Buck converter K. Sreedevi* and E. David Dept. of electrical and electronics

More information

A Single Phase Single Stage AC/DC Converter with High Input Power Factor and Tight Output Voltage Regulation

A Single Phase Single Stage AC/DC Converter with High Input Power Factor and Tight Output Voltage Regulation 638 Progress In Electromagnetics Research Symposium 2006, Cambridge, USA, March 26-29 A Single Phase Single Stage AC/DC Converter with High Input Power Factor and Tight Output Voltage Regulation A. K.

More information

Multiphase Interleaving Buck Converter With Input-Output Bypass Capacitor

Multiphase Interleaving Buck Converter With Input-Output Bypass Capacitor 2010 Seventh International Conference on Information Technology Multiphase Interleaving Buck Converter With Input-Output Bypass Capacitor Taufik Taufik, Randyco Prasetyo, Arief Hernadi Electrical Engineering

More information

IT is well known that the boost converter topology is highly

IT is well known that the boost converter topology is highly 320 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 21, NO. 2, MARCH 2006 Analysis and Design of a Low-Stress Buck-Boost Converter in Universal-Input PFC Applications Jingquan Chen, Member, IEEE, Dragan Maksimović,

More information

MOSFET Amplifier Configuration. MOSFET Amplifier Configuration

MOSFET Amplifier Configuration. MOSFET Amplifier Configuration MOSFET Amplifier Configuration Single stage The signal is fed to the amplifier represented as sig with an internal resistance sig. MOSFET is represented by its small signal model. Generally interested

More information

Novel Direct Voltage Control by Wind Turbines

Novel Direct Voltage Control by Wind Turbines Noel Direct Voltage Control by Wind Turbines T. Neumann, I. Erlich, B. Paz, A. Korai, Uniersity Duisburg-Essen Duisburg, ermany M. Koochac Zadeh, S. Vogt, C. Buchhagen, C. Rauscher, A. Menze, J. Jung Tennet

More information

ECE:3410 Electronic Circuits

ECE:3410 Electronic Circuits ECE:3410 Electronic Circuits Reiew of Op-Amps Sections of Chapters 9 & 14 A. Kruger Op-Amp Reiew-1 Real-World Op-Amp In earlier courses, op-amp were often considered ideal Infinite input resistance Infinite

More information

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof.

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof. A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications Jinwen Xiao Angel Peterchev Jianhui Zhang Prof. Seth Sanders Power Electronics Group Dept. of

More information

Generating Isolated Outputs in a Multilevel Modular Capacitor Clamped DC-DC Converter (MMCCC) for Hybrid Electric and Fuel Cell Vehicles

Generating Isolated Outputs in a Multilevel Modular Capacitor Clamped DC-DC Converter (MMCCC) for Hybrid Electric and Fuel Cell Vehicles Generating Isolated Outputs in a Multilevel Modular Capacitor Clamped DC-DC Converter (MMCCC) for Hybrid Electric and Fuel Cell Vehicles Faisal H. Khan 1, Leon M. Tolbert 2 1 Electric Power Research Institute

More information

PARALLELING of converter power stages is a wellknown

PARALLELING of converter power stages is a wellknown 690 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 13, NO. 4, JULY 1998 Analysis and Evaluation of Interleaving Techniques in Forward Converters Michael T. Zhang, Member, IEEE, Milan M. Jovanović, Senior

More information

Digital Controller Chip Set for Isolated DC Power Supplies

Digital Controller Chip Set for Isolated DC Power Supplies Digital Controller Chip Set for Isolated DC Power Supplies Aleksandar Prodic, Dragan Maksimovic and Robert W. Erickson Colorado Power Electronics Center Department of Electrical and Computer Engineering

More information

IN THE high power isolated dc/dc applications, full bridge

IN THE high power isolated dc/dc applications, full bridge 354 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 21, NO. 2, MARCH 2006 A Novel Zero-Current-Transition Full Bridge DC/DC Converter Junming Zhang, Xiaogao Xie, Xinke Wu, Guoliang Wu, and Zhaoming Qian,

More information

Vishay Siliconix AN724 Designing A High-Frequency, Self-Resonant Reset Forward DC/DC For Telecom Using Si9118/9 PWM/PSM Controller.

Vishay Siliconix AN724 Designing A High-Frequency, Self-Resonant Reset Forward DC/DC For Telecom Using Si9118/9 PWM/PSM Controller. AN724 Designing A High-Frequency, Self-Resonant Reset Forward DC/DC For Telecom Using Si9118/9 PWM/PSM Controller by Thong Huynh FEATURES Fixed Telecom Input Voltage Range: 30 V to 80 V 5-V Output Voltage,

More information

Modified PTS Technique Of Its Transceiver For PAPR Reduction In OFDM System

Modified PTS Technique Of Its Transceiver For PAPR Reduction In OFDM System Modified PTS Technique Of Its Transceier For PAPR Reduction In OFDM System. Munmun Das Research Scholar MGM College of Engineering, Nanded(M.S),India.. Mr. Sayed Shoaib Anwar Assistant Professor MGM College

More information

CHAPTER 3 MAXIMUM POWER TRANSFER THEOREM BASED MPPT FOR STANDALONE PV SYSTEM

CHAPTER 3 MAXIMUM POWER TRANSFER THEOREM BASED MPPT FOR STANDALONE PV SYSTEM 60 CHAPTER 3 MAXIMUM POWER TRANSFER THEOREM BASED MPPT FOR STANDALONE PV SYSTEM 3.1 INTRODUCTION Literature reports voluminous research to improve the PV power system efficiency through material development,

More information

A Cascade Multilevel Inverter Using a Single DC Source

A Cascade Multilevel Inverter Using a Single DC Source A ascade Multileel Inerter Using a ingle D ource Zhong Du,LeonM.Tolbert,JohnN.hiasson, and Burak Özpineci emiconductor Power Electronics enter Electrical and omputer Engineering North arolina tate Uniersity

More information

Simulation and Performance Evaluation of Closed Loop Pi and Pid Controlled Sepic Converter Systems

Simulation and Performance Evaluation of Closed Loop Pi and Pid Controlled Sepic Converter Systems Simulation and Performance Evaluation of Closed Loop Pi and Pid Controlled Sepic Converter Systems Simulation and Performance Evaluation of Closed Loop Pi and Pid Controlled Sepic Converter Systems T.

More information

The Road to Integrated Power Conversion via the Switched Capacitor Approach. Prof. Seth Sanders EECS Department, UC Berkeley

The Road to Integrated Power Conversion via the Switched Capacitor Approach. Prof. Seth Sanders EECS Department, UC Berkeley The Road to Integrated Power Conversion via the Switched Capacitor Approach Prof. Seth Sanders EECS Department, UC Berkeley 1 Integrated Power Integration has benefits: Reduce passives -> save board real

More information

A LOW-VOLUME POWER MANAGEMENT MODULE MULTI-OUTPUT SWITCHED-CAPACITOR CIRCUIT FOR PORTABLE APPLICATIONS BASED ON A

A LOW-VOLUME POWER MANAGEMENT MODULE MULTI-OUTPUT SWITCHED-CAPACITOR CIRCUIT FOR PORTABLE APPLICATIONS BASED ON A A LOW-VOLUME POWER MANAGEMENT MODULE FOR PORTABLE APPLICATIONS BASED ON A MULTI-OUTPUT SWITCHED-CAPACITOR CIRCUIT S M Ahsanuzzaman, Justin Blackman, Timothy McRae, Aleksandar Prodić Laboratory for Power

More information

Switching Loss Reduction of AC-AC Converter using Three-level Rectifier and Inverter for UPS.

Switching Loss Reduction of AC-AC Converter using Three-level Rectifier and Inverter for UPS. Switching Loss Reduction of AC-AC Conerter using Three-leel and for UPS. Kazuki Yoneda, Hiroki Takahashi and Jun-ichi Itoh Dept. of Electrical, Electronics and Information Engineering Nagaoka Uniersity

More information

Digitally Controlled Envelope Tracking Power Supply for an RF Power Amplifier

Digitally Controlled Envelope Tracking Power Supply for an RF Power Amplifier Downloaded from orbit.dtu.dk on: Jul 24, 2018 Digitally Controlled Envelope Tracking Power Supply for an RF Power Amplifier Jakobsen, Lars Tønnes; Andersen, Michael A. E. Published in: International Telecommunications

More information

DC/DC Converters for High Conversion Ratio Applications

DC/DC Converters for High Conversion Ratio Applications DC/DC Converters for High Conversion Ratio Applications A comparative study of alternative non-isolated DC/DC converter topologies for high conversion ratio applications Master s thesis in Electrical Power

More information

Available online at ScienceDirect. Energy Procedia 56 (2014 )

Available online at   ScienceDirect. Energy Procedia 56 (2014 ) Aailable online at www.sciencedirect.com ScienceDirect Energy Procedia 56 (2014 ) 342 351 11th Eco-Energy and Materials Science and Engineering (11th EMSES) Grid Connected Based PWM Conerter Applied A

More information

Type Ordering Code Package TDA Q67000-A5066 P-DIP-8-1

Type Ordering Code Package TDA Q67000-A5066 P-DIP-8-1 Control IC for Switched-Mode Power Supplies using MOS-Transistor TDA 4605-3 Bipolar IC Features Fold-back characteristics provides overload protection for external components Burst operation under secondary

More information

K.Vijaya Bhaskar. Dept of EEE, SVPCET. AP , India. S.P.Narasimha Prasad. Dept of EEE, SVPCET. AP , India.

K.Vijaya Bhaskar. Dept of EEE, SVPCET. AP , India. S.P.Narasimha Prasad. Dept of EEE, SVPCET. AP , India. A Closed Loop for Soft Switched PWM ZVS Full Bridge DC - DC Converter S.P.Narasimha Prasad. Dept of EEE, SVPCET. AP-517583, India. Abstract: - This paper propose soft switched PWM ZVS full bridge DC to

More information

THE FEEDBACK PI CONTROLLER FOR BUCK-BOOST CONVERTER COMBINING KY AND BUCK CONVERTER

THE FEEDBACK PI CONTROLLER FOR BUCK-BOOST CONVERTER COMBINING KY AND BUCK CONVERTER THE FEEDBACK PI CONTROLLER FOR BUCK-BOOST CONERTER COMBINING KY AND BUCK CONERTER K. Sreedevi* E. David Dept. of Electrical and Electronics Engineering, Nehru College of Engineering and Research Centre,

More information

UNIVERSITY OF UTAH ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT AMPLIFIER FREQUENCY RESPONSE

UNIVERSITY OF UTAH ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT AMPLIFIER FREQUENCY RESPONSE UNISITY OF UTAH LTIAL AND OMPUT NGINING DPATMNT 30 LABOATOY XPIMNT NO. AMPLIFI FQUNY SPONS Objecties This experiment will demonstrate the frequency and time domain response of a single-stage common emitter

More information

S. General Topological Properties of Switching Structures, IEEE Power Electronics Specialists Conference, 1979 Record, pp , June 1979.

S. General Topological Properties of Switching Structures, IEEE Power Electronics Specialists Conference, 1979 Record, pp , June 1979. Problems 179 [22] [23] [24] [25] [26] [27] [28] [29] [30] J. N. PARK and T. R. ZALOUM, A Dual Mode Forward/Flyback Converter, IEEE Power Electronics Specialists Conference, 1982 Record, pp. 3-13, June

More information

Sepic Topology Based High Step-Up Step down Soft Switching Bidirectional DC-DC Converter for Energy Storage Applications

Sepic Topology Based High Step-Up Step down Soft Switching Bidirectional DC-DC Converter for Energy Storage Applications IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 12, Issue 3 Ver. IV (May June 2017), PP 68-76 www.iosrjournals.org Sepic Topology Based High

More information

Comparative Study of Modified Three-Level Buck Converter Topology

Comparative Study of Modified Three-Level Buck Converter Topology Comparative Study of Modified Three-Level Buck Topology Akhila V.T 1, Jyothi Lekshmi 2, Sijitha Isaac 3, Shelby Mathew 4 Student B.Tech Electrical and Electronics, Kottayam Institutes of Technology and

More information

Networked Radar System: Waveforms, Signal Processing and. Retrievals for Volume Targets. Proposal for Dissertation.

Networked Radar System: Waveforms, Signal Processing and. Retrievals for Volume Targets. Proposal for Dissertation. Proposal for Dissertation Networked Radar System: Waeforms, Signal Processing and Retrieals for Volume Targets Nitin Bharadwaj Colorado State Uniersity Department of Electrical and Computer Engineering

More information

Voltage Fed DC-DC Converters with Voltage Doubler

Voltage Fed DC-DC Converters with Voltage Doubler Chapter 3 Voltage Fed DC-DC Converters with Voltage Doubler 3.1 INTRODUCTION The primary objective of the research pursuit is to propose and implement a suitable topology for fuel cell application. The

More information

Single Phase Dynamic Voltage Restorer for Abnormal Conditions

Single Phase Dynamic Voltage Restorer for Abnormal Conditions Single Phase Dynamic Voltage Restorer for Abnormal Conditions C.Jayashankar, R.Ilango, V.Prabaharan Abstract Power quality is one of the major concerns in the era of power system. Power quality problem

More information

Digital PWM IC Control Technology and Issues

Digital PWM IC Control Technology and Issues Digital PWM IC Control Technology and Issues Prof. Seth R. Sanders Angel V. Peterchev Jinwen Xiao Jianhui Zhang Department of EECS University of California, Berkeley Digital Control Advantages implement

More information

Use of on-chip sampling sensor to evaluate conducted RF disturbances propagated inside an integrated circuit

Use of on-chip sampling sensor to evaluate conducted RF disturbances propagated inside an integrated circuit Use of on-chip sampling sensor to ealuate conducted RF disturbances propagated inside an integrated circuit M. Deobarro 1, 2 (PhD-2) B. Vrignon 1, S. Ben Dhia 2, A. Boyer 2 1 Freescale Semiconductor 2

More information