Chapter 4.5. High Frequency Passive Devices

Size: px
Start display at page:

Download "Chapter 4.5. High Frequency Passive Devices"

Transcription

1 Chapter 4.5. High Frequency Passive Devices 1

2 Outline Inductors Transmission lines Varactors MIM Capacitors Resistors 2

3 Types of integrated inductors (Yoon, RFIC-2003) In silicon ICs: Spiral Multi-layer shunted Symmetrical spiral Stacked helical Stacked Helical Stacked MEMS 3

4 Types of inductors: by number of terminals 2-terminal 3-terminal (t-coil) transformers symmetrical transformers (baluns) 4

5 Inductor integration issues Low quality factor (Q) Large chip area (high cost) Limited application frequency range: lower bound limited by size and Q upper bound (SRF=Self-Resonant-Frequency) limited by dielectric thickness Cross-talk through silicon substrate GOAL is to AIM HIGH: high Q and high SRF 5

6 2-terminal inductor layout & cross-section Main FOMs Inductance L Quality factor Q SRF PQF 6

7 Inductance L Inductance: induces and stores magnetic field total flux L= current Greenhouse Equation n n L=Self Inductance Li + Mutual Inductance M ij i=1 j=2 j i i=1 Lj3 n Mij Lj2 Li3 Li1 Lj4 Lj1 M>0 M<0 M=0 7

8 Inductor Q recommended range Q describes energy efficiency: stored energy Q= dissipated energy Conventional definition: Qeff = ℑ Y11 PQF SRF ℜ Y11 8

9 0.13 µm CMOS two-terminal inductor meas. 1 Leff = ℑ[ Y11 ] Qeff = ℑ[ Y11 ] ℜ[ Y11 ] 9

10 Loss mechanisms in inductors (Dubuc et al, IMS2002) loss in metal loss in substrate 10

11 Metal loss: series resistance Rs DC-loss due to thin metal and planar geometry Frequency-dependent cross-section due to skin effect (B induced by conductor itself) J=Jp Je where Non-uniform current density Jp=0; Je=j B; Je=0 due to proximity effect (B induced by neighbours) Jp = potential current Je = eddy current 11

12 Metal loss: techniques to reduce it Multiple metal layers shunted (reduces PQF and SRF) Thicker metal (needs process change) More conductive metal i.e. Cu instead of AlCu (needs process change) Use narrower inner turns to reduce eddy current loss and wider outer turns to reduce DC resistance (increases PQF and SRF) 12

13 Substrate loss Ip = potential current in substrate, induced by electric field sub Jp = sub E= sub 2 where =0 Ieddy= eddy current in substrate, induced by magnetic field causes inductance and resistance loss Jeddysub= j sub B 13

14 Propagation modes (Dubuc et al, IMS2002) 14

15 Substrate loss: techniques to reduce it Minimize electric coupling to substrate (Id) (also increases SRF and PQF): small inductor area increase dielectric thickness (process change?) low-k dielectric (process change) Reduce eddy currents in substrate pattern shield (reduces PQF, SRF) Reduce both eddy and potential currents in sub. increase substrate ( =>0) (process change) Place sub contacts 30 m.. 50 m from inductor 15

16 HF inductor equivalent circuits: simple T W S P 16

17 Equivalent circuit model equations: simple Cao Y. et al., JSSC March 2003 L (see t-line-over-substrate equations) n = number of turns 6 0 n2 d2avg L 11d 7davg d = outer diameter of inductor davg = arithmetic mean of inner and outer diameter 0 = H/ m is the permeability of vacuum l Rs has DC and AC (frequency dependent) terms RDC = Wt s is the conductivity of the metal 1 = f R AC= l W 1 exp t 17

18 Equivalent circuit model equations (ii): simple π ox 1 Coxi (oxide capacitance) Cox= l W 2 h 2 ox Cp (overlap capacitance) Cp=n W hm9 M8 Csi, Rsubi: substrate network, describe losses in the silicon substrate, similar to the Csub-Rsub network of the MOSFET and SiGe HBT. Rsubi Csi= r 0 Rsu where Rsu in is the substrate resistivity, i=

19 HF inductor equivalent circuit: 2-π 19

20 Parameter extraction (2-terminal circuit) At low frequency (0.5 GHz to 1 GHz) extract directly: 1 ℑ[ Y 12 ] L= 1 Rsub1=ℜ[ Y 11 Y12 ] R=ℜ[ Y12 1 ] Rsub2=ℜ[ Y 22 Y12 1 ] 1 1 [ ℑ[ Y 11 Y12 ]] COX1= [ ℑ[ Y 22 Y12 1 ]] 1 COX2= r 0 Rsu Csi= Rsubi 20

21 Parameter extraction (2-terminal circuit) At high frequency (5 GHz to beyond SRF) : calculate (and/or optimize): CP from imag(y12), skin effect parameters Lf, Rf from real(y12), Csubi from imag (Yii+Y12) 21

22 Scaling of inductors to mm-wave frequencies Scale size and frequency: f->f S, W->W/S, l->l/s, d->d/s, h2 >h/s, t=ct. 2 davg n 6 0 n davg S L L 11d 7davg S davg d 11 7 S S Small footprint to minimize loss in silicon Cox 1 l W ox 1 ox Cox= lw = 2 h S 2S S h S 2 Cp ox W 2 ox =n Cp=n W S S hm9 M8 hm9 M8 S 1 1 SRF S SRF= 2 L COX Cp L COX Cp 2 S S S [ ]

23 Scaling of inductors to mm-wave frequencies Vertical stacking and magnetic coupling to Increase inductance/area reduce loss in substrate Outcome Inductors/transformers can be as small and inexpensive as transistors As in MOSFETs, series resistance does not scale Q remains the same, but at f S l RDC = Wt l S RDC = W t S R AC= l S W t 1 exp S

24 140 ph Planar Spiral Inductor in 90-nm CMOS d = 29 m, n = 2.25 S = 2 m, W = 2 µm t = 3 m Measured vs. ASITIC simulated Leff and Qeff Slide 24

25 3-terminal inductor layout 25

26 3-terminal inductor equivalent circuit P3 Rt CP k L11 P1 2xRs1 R11 C OX1 2 Cs1/2 2 R s1 R s2 R s1 R s2 Lt L22 R22 C OX1 C OX2 2 2xRs2 C s1 C s2 2 P2 C OX2 2 Cs2/2 26

27 Model parameter extraction L11= ℑ [ Z11 ] L22= ℑ[ Z22 ] ℑ [ Z12 ] ℑ[ Z21 ] M= = ℑ[Z11 Z22 Z 12 Z21 ] Ldiff = R11=ℜ[Z11 ] R22 =ℜ[ Z22 ] Rt=ℜ[ Z12 ]=ℜ[ Z21 ] Qdiff = ℑ[Z 11 Z22 Z12 Z 21 ] ℜ[Z11 Z 22 Z12 Z21 ] M k= L11 L22 Coxi, Rsubi, Csi are extracted from the 2-terminal equivalent. 27

28 Single-ended vs. diff.-mode inductance Ldiff =L11 L22 2M=2.2 nh Lsingle=L11=L22=0.67nH Strong mismatch can occur in either differential mode or single-ended/common-mode if tight coupling in 3-term inductors exists. Use 3-terminal inductors only inside the chip, not in output buffer. Watch out for sign of M! Ldiff should be larger than 2L11. 28

29 HF inductor layout design High SRF: narrow metal, wide spacing, minimum diameter High Q : wide, thick metal, shunted metal layers Large L/ area and SRF: large diameter, narrow metal, stacked, series-connected metal layers Substrate p-taps in µm proximity Minimize size in differential ckts.: use one center-tapped differential inductor, rather than two inductors (but lower overall SRF) 29

30 High Q & high SRF inductor design tips Small diameter Narrow (narrower in inner turns), (W) thick, (T) widely spaced (S) top metal -only (stacked structure for peaking inductors) windings on thick dielectric (h) with low permittivity ( OX) 30

31 Transformers i i1 2 n1 : n2 v1 L 1 M L2 20 µm v2 2.5 µm width P2+ P1+ P1-31

32 3-D stacked transformer modelling ASITIC modeling procedure 1. Use pix on bottom coil alone to find COX, CSUB, RSUB, R2, L2 2. Calculate CSUB 20 µm 2.5 µm width 3. Use pix on top coil alone to find R1 and L1 4. Use pix on top coil with bottom coil grounded to get C12 5. Use k command on both coils together to find coupling. 32

33 Transformers in SiGe BiCMOS and 90nm CMOS 1:1 vertically stacked SiGe BiCMOS transformer n=2, t=3µm 34 µm 1:1 vertically stacked transformer in 90-nm CMOS n=2, W= 2µm, S=2µm, t=3µm

34 Baluns (symmetrical transformers) RS11 CS11 RS12 COX11 P1+ C11 LP/2 RP/2 k C22 RS/2 LS/2 CS12 COX12 LP/2 k RS13 CS13 RP/2 COX13 P1- LS/2 RS/2 P2- P2+ COX21 RS21 C33 CS21 RS22 COX22 CS22 COX23 RS23 CS23

35 Outline Inductors Transmission lines Varactors MIM Capacitors Resistors 35

36 Transmission lines M8 Oxide M1 Si substrate µ-strip M8 Oxide Si substrate CPW 36

37 Interconnect as Transmission Lines Ls1 Ls2=l Layout-view of T-line test structures M8 M7 M6 M5 M4 M3 M2 M1 Passivation Signal W=11um h IMD ground M8 M7 M6 M5 M4 M3 M2 M1 FOX Si substrate ground M8 M7 M6 M5 M4 M3 M2 M1 Passivation Signal W=10um IMD M8 M7 M6 M5 M4 M3 M2 M1 FOX Si substrate ground X-section of T-line w/i and w/o gnd metal 0 8h W ln W 4h 2

38 Transmission lines Show up in ICs: by design: as circuit matching elements (preferably as Metal-Oxide-Metal MOM lines), or inevitably, as interconnect (as Metal-Oxide-Silicon lines) In Si ICs, -strip or GCPW have lower loss than CPW Use M1, M2 or M1+M2, M1+M2+M3 ground planes Loss mechanisms similar to inductors (no proximity effect) 38

39 Transmission line params and models Most important HF performance parameters: Characteristic impedance: Z0 Attenuation (/mm): Group delay (/mm): Use simulator built-in models for GaAs, InP, Si M-O-M strip lines (ADS > Hspice > Spectre) Use lumped scalable RLC model for Metal-Over-Silicon lines Can be extracted from measurements or EM simulations 39

40 T-line de-embedding technique Goal: Remove impact of pad parasitics Test structures: long (1.2/0.6 mm) and short (200/100 µm) lines How? 1-step de-embedding based on ABCD and Y matrices Outcome: Determine ZC, γ, α, τg, εeff of intrinsic line from ABCD matrix. 40

41 T-line param. extraction from ABCD matrix Impedance of line of characteristic impedance Z0 terminated on ZL Z L j ZO tan d Zin =ZO Z O j ZL tan d csh d Z O sh d A B= sh d C D csh d ZO B Z O= C acsh A = or d in db =8.688 ℜ = d where = j csh d ZO sh d v1 v = sh d 2 i1 csh d i2 ZO ash BC = where d = 1 mm d 2 reff = c d where c= m s

42 Simple lumped ckt. model without skin effect 1 cosh 1 ( A ) LC 2 ω L B = C C Signal line 2 GND Oxide Passivation L/2 P1 P1 Z1 Z1 R/2 P2 C OX Rs P2 Z2 L/2 R/2 L/2 R/2 Cs L/2 R/2 P2 P1 Gd Cd

43 Extracting the lumped circuit parameters Simulate (in HFSS) a line with l>=10 m to find ABCD params. Find L/C and LC (high freq.) G may be considered negligible Optimize Lf,Rf, & Rm (skin) to fit & of model to HFSS simulation or measurements Compare simulations of 100 m or longer lines to verify scalability

44 Example: Modelled vs. mea. 3.6-mm µstrip line Fitted RLGC model

45 Comparable SiGe vs. CMOS µstrip lines 3.6mm long CMOS-line attenuation getting slightly worse in new nodes GHz, GHz,

46 T-line loss in thin and thick metal BEOLs 46

47 Outline Inductors Transmission lines Varactors MIM Capacitors Resistors 47

48 Variable capacitance devices: Varactors Figures of merit capacitance ratio: CMAX/CMIN quality factor Q linearity Applications VCO Implementation p-n junction accumulation-mode MOS capacitor

49 Common-sense rules Accumulation-mode MOS (AMOS) varactors have higher Q and larger tuning range than pn junction varactors If varactor model is not available, build a rudimentary model: use MOSFET with S/D tied together Add gate resistance externally, as for MOSFET Calculate CMAX= COX W L + 2Cov CMIN = CMAX/2 Smaller varactors (W and Wf) have higher Q's at a given frequency

50 Extraction of simplified equivalent circuit gate n+ p+ n+ STI STI STI p-well n-well p- substrate ]] [ℑ Z21 ] Cnw= R=RG RCH=ℜ Z11 Z22 Z12 Z21 Rsub =ℜ Z21 Cvar = [ ℑ[ Y

51 Meas. vs. sim. Cvar(V) for 1.2V, 130nm device 51

52 90nm AMOS varactors: Ceff 10x2µm L=0.25µm Scales well with Wf and L. Physical model matches measurements of Ceff well: Captures dependence on Wf and L. Captures bias dependence.

53 90-nm AMOS varactors: Qeff 1/(Ceff Reff) L=0.25µm 10x2µm Decreases with Wf. Decreases with L (due to L-indep. R term). Extracted model limited by measurement accuracy (Rch).

54 Meas. 65-nm GP AMOS varactor at 94 GHz Double-sided gate Ldrawn=60nm, Wf=0.55μm, Wtotal=27.5μm, CVAR=1.53fF/μm C variation: 25fF 42fF, Q: 6 8 at 94 GHz

55 45-nm LP CMOS AMOS n-well varactor nm 45nm Ldrawn=45nm, W f=0.7μm, W total=78.4μm, CVAR=1.07fF/μm C variation: 58fF 84fF, Q= at 94 GHz

56 MIM Capacitor Figures of merit Capacitance per area Quality factor Q Capacitance to substrate Issues with reliability Very good linearity Applications VCOs Low-noise amplifiers mixers

57 Measured vs. Modelled Characteristics Q Frequency (GHz) DA-MiM Cross-sectional view of DA MiM_Cap LCTM RCTM CMiM RCBM LCBM 1 10 Metal 7 CTM CBM Metal 8 Metal 6 C 0 Metal 8 Metal 8 Q-Factor Capacitance(pF) CTM CBM CO X DN W Csub Rsub SUB Equivalent circuit used for MiM_Cap model

58 HF MIM capacitor equiv. ckt. use reversedbiased n-well for good substrate isolation 58

59 MOM capacitor Uses the fringing capacitance between dense metals Requires no additional process option (comes for free ) Lower Q than a MIM capacitor

60 Extraction of simplified ckt. for MIM/MOM cap L R C CT CT C OX Rs CB Z1 Z2 L R Cmim ℑ[ Y [ = ]] 1 CB C OX R=RTOP RBO =ℜ Z11 Z22 Z12 Z21 Rs [ ℑ Z21 ] COX= Cs C CT CB Cs Rsub =ℜ Z21 60

61 HF resistor equivalent circuit W-plug RCO RCO Rend Rsheet Rend CoSi STI T-circuit imposes use of Z parameters. 2 -circuit is more accurate for long resistors but is in most cases not necessary. 61

62 HF resistor equivalent circuit extraction ℑ Z 11 Z22 Z12 Z21 L= 1 [ ℑ Z21 ] COX= R=ℜ Z11 Z22 Z12 Z21 Rsub =ℜ Z21 62

63 RF pads Top metal only Reversed-biased salicided n-well or metal-1 grounded n-well 63

64 Using ASITIC to calculate HF equiv. ckt. parasitic elements of passive components MIM caps, poly resistors, varactors have identical substrate network (Rsub, Csub) Use ASITIC to model the substrate network for a metal line of similar width and length, MIM caps and poly resistors are realized in the oxide, above the silicon substrate Use ASITIC to model Cox, L, R for a metal line of similar width and length and located at the same distance from the substrate as the MIM cap or poly resistor 64

65 Summary Inductors and transformers: main design components available to HF circuit designers Unlike transistors, they are almost insensitive to process variation Inductors and transformers are scalable to at least 200 GHz Modelling of passives as critical as the transistor model In Si HF ICs t-line matching should be avoided < 100 GHz because of large area Varactors, capacitors and resistors have RLC parasitics which must be accurately modelled at HF

Chapter 2. Inductor Design for RFIC Applications

Chapter 2. Inductor Design for RFIC Applications Chapter 2 Inductor Design for RFIC Applications 2.1 Introduction A current carrying conductor generates magnetic field and a changing current generates changing magnetic field. According to Faraday s laws

More information

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers 6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers Massachusetts Institute of Technology February 17, 2005 Copyright 2005

More information

Fully-Integrated Low Phase Noise Bipolar Differential VCOs at 2.9 and 4.4 GHz

Fully-Integrated Low Phase Noise Bipolar Differential VCOs at 2.9 and 4.4 GHz Fully-Integrated Low Phase Noise Bipolar Differential VCOs at 2.9 and 4.4 GHz Ali M. Niknejad Robert G. Meyer Electronics Research Laboratory University of California at Berkeley Joo Leong Tham 1 Conexant

More information

Performance Enhancement For Spiral Indcutors, Design And Modeling

Performance Enhancement For Spiral Indcutors, Design And Modeling Performance Enhancement For Spiral Indcutors, Design And Modeling Mohammad Hossein Nemati 16311 Sabanci University Final Report for Semiconductor Process course Introduction: How to practically improve

More information

An Equivalent Circuit Model for On-chip Inductors with Gradual Changed Structure

An Equivalent Circuit Model for On-chip Inductors with Gradual Changed Structure An Equivalent Circuit Model for On-chip Inductors with Gradual Changed Structure Xi Li 1, Zheng Ren 2, Yanling Shi 1 1 East China Normal University Shanghai 200241 People s Republic of China 2 Shanghai

More information

ETI , Good luck! Written Exam Integrated Radio Electronics. Lund University Dept. of Electroscience

ETI , Good luck! Written Exam Integrated Radio Electronics. Lund University Dept. of Electroscience und University Dept. of Electroscience EI170 Written Exam Integrated adio Electronics 2010-03-10, 08.00-13.00 he exam consists of 5 problems which can give a maximum of 6 points each. he total maximum

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Streamlined Design of SiGe Based Power Amplifiers

Streamlined Design of SiGe Based Power Amplifiers ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 13, Number 1, 2010, 22 32 Streamlined Design of SiGe Based Power Amplifiers Mladen BOŽANIĆ1, Saurabh SINHA 1, Alexandru MÜLLER2 1 Department

More information

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers

65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers 65-GHz Receiver in SiGe BiCMOS Using Monolithic Inductors and Transformers Michael Gordon, Terry Yao, Sorin P. Voinigescu University of Toronto March 10 2006, UBC, Vancouver Outline Motivation mm-wave

More information

BiCMOS055 Technology Offer

BiCMOS055 Technology Offer BiCMOS055 Technology Offer STMicroelectronics Technology & Design Platforms, Crolles February 2016 Best-in-class BiCMOS BiCMOS055 (B55)* is: The latest BiCMOS technology developed in STMicroelectronics

More information

Equivalent Circuit Model Overview of Chip Spiral Inductors

Equivalent Circuit Model Overview of Chip Spiral Inductors Equivalent Circuit Model Overview of Chip Spiral Inductors The applications of the chip Spiral Inductors have been widely used in telecommunication products as wireless LAN cards, Mobile Phone and so on.

More information

INF 5490 RF MEMS. LN12: RF MEMS inductors. Spring 2011, Oddvar Søråsen Department of informatics, UoO

INF 5490 RF MEMS. LN12: RF MEMS inductors. Spring 2011, Oddvar Søråsen Department of informatics, UoO INF 5490 RF MEMS LN12: RF MEMS inductors Spring 2011, Oddvar Søråsen Department of informatics, UoO 1 Today s lecture What is an inductor? MEMS -implemented inductors Modeling Different types of RF MEMS

More information

95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS

95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS 95GHz Receiver with Fundamental Frequency VCO and Static Frequency Divider in 65nm Digital CMOS Ekaterina Laskin, Mehdi Khanpour, Ricardo Aroca, Keith W. Tang, Patrice Garcia 1, Sorin P. Voinigescu University

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

On-chip Spiral Inductor/transformer Design And Modeling For Rf Applications

On-chip Spiral Inductor/transformer Design And Modeling For Rf Applications University of Central Florida Electronic Theses and Dissertations Doctoral Dissertation (Open Access) On-chip Spiral Inductor/transformer Design And Modeling For Rf Applications 6 Ji Chen University of

More information

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation Francesco Carrara 1, Calogero D. Presti 2,1, Fausto Pappalardo 1, and Giuseppe

More information

Inductor Modeling of Integrated Passive Device for RF Applications

Inductor Modeling of Integrated Passive Device for RF Applications Inductor Modeling of Integrated Passive Device for RF Applications Yuan-Chia Hsu Meng-Lieh Sheu Chip Implementation Center Department of Electrical Engineering 1F, No.1, Prosperity Road I, National Chi

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain

An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain An Inductor-Based 52-GHz 0.18 µm SiGe HBT Cascode LNA with 22 db Gain Michael Gordon, Sorin P. Voinigescu University of Toronto Toronto, Ontario, Canada ESSCIRC 2004, Leuven, Belgium Outline Motivation

More information

Synthesis of Optimal On-Chip Baluns

Synthesis of Optimal On-Chip Baluns Synthesis of Optimal On-Chip Baluns Sharad Kapur, David E. Long and Robert C. Frye Integrand Software, Inc. Berkeley Heights, New Jersey Yu-Chia Chen, Ming-Hsiang Cho, Huai-Wen Chang, Jun-Hong Ou and Bigchoug

More information

Insights Into Circuits for Frequency Synthesis at mm-waves Andrea Mazzanti Università di Pavia, Italy

Insights Into Circuits for Frequency Synthesis at mm-waves Andrea Mazzanti Università di Pavia, Italy RFIC2014, Tampa Bay June 1-3, 2014 Insights Into Circuits for Frequency Synthesis at mm-waves Andrea Mazzanti Università di Pavia, Italy High data rate wireless networks MAN / LAN PAN ~7GHz of unlicensed

More information

Cell size and box size in Sonnet RFIC inductor analysis

Cell size and box size in Sonnet RFIC inductor analysis Cell size and box size in Sonnet RFIC inductor analysis Purpose of this document: This document describes the effect of some analysis settings in Sonnet: Influence of the cell size Influence of thick metal

More information

TU3B-1. An 81 GHz, 470 mw, 1.1 mm 2 InP HBT Power Amplifier with 4:1 Series Power Combining using Sub-quarter-wavelength Baluns

TU3B-1. An 81 GHz, 470 mw, 1.1 mm 2 InP HBT Power Amplifier with 4:1 Series Power Combining using Sub-quarter-wavelength Baluns TU3B-1 Student Paper Finalist An 81 GHz, 470 mw, 1.1 mm 2 InP HBT Power Amplifier with 4:1 Series Power Combining using Sub-quarter-wavelength Baluns H. Park 1, S. Daneshgar 1, J. C. Rode 1, Z. Griffith

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

K-Band Low-Noise Amplifier Design in CMOS Technology

K-Band Low-Noise Amplifier Design in CMOS Technology K-Band Low-Noise Amplifier Design in CMOS Technology by Dustin Dunwell A thesis submitted to the Department of Electrical and Computer Engineering in conformity with the requirements for the degree of

More information

Fully Integrated Low Phase Noise LC VCO. Desired Characteristics of VCOs

Fully Integrated Low Phase Noise LC VCO. Desired Characteristics of VCOs Fully Integrated ow Phase Noise C VCO AGENDA Comparison with other types of VCOs. Analysis of two common C VCO topologies. Design procedure for the cross-coupled C VCO. Phase noise reduction techniques.

More information

CHAPTER 4. Practical Design

CHAPTER 4. Practical Design CHAPTER 4 Practical Design The results in Chapter 3 indicate that the 2-D CCS TL can be used to synthesize a wider range of characteristic impedance, flatten propagation characteristics, and place passive

More information

Test Structures for Millimeter- Wave CMOS Circuit Design

Test Structures for Millimeter- Wave CMOS Circuit Design Test Structures for Millimeter- Wave CMOS Circuit Design Kenichi Okada Tokyo Institute of Technology, Japan 2010/03/22 Outline 1 Motivation Issues for mmw CMOS Circuits Device Characterization De-embedding

More information

Kiat T. Ng, Behzad Rejaei, # Mehmet Soyuer and Joachim N. Burghartz

Kiat T. Ng, Behzad Rejaei, # Mehmet Soyuer and Joachim N. Burghartz Kiat T. Ng, Behzad Rejaei, # Mehmet Soyuer and Joachim N. Burghartz Microwave Components Group, Laboratory of Electronic Components, Technology, and Materials (ECTM), DIMES, Delft University of Technology,

More information

On-Chip Passive Devices Embedded in Wafer-Level Package

On-Chip Passive Devices Embedded in Wafer-Level Package On-Chip Passive Devices Embedded in Wafer-Level Package Kazuya Masu 1, Kenichi Okada 1, Kazuhisa Itoi 2, Masakazu Sato 2, Takuya Aizawa 2 and Tatsuya Ito 2 On-chip high-q spiral and solenoid inductors

More information

Review of ASITIC (Analysis and Simulation of Inductors and Transformers for Integrated Circuits) Tool to Design Inductor on Chip

Review of ASITIC (Analysis and Simulation of Inductors and Transformers for Integrated Circuits) Tool to Design Inductor on Chip www.ijcsi.org 196 Review of ASITIC (Analysis and Simulation of Inductors and Transformers for Integrated Circuits) Tool to Design Inductor on Chip M. Zamin Ali Khan 1, Hussain Saleem 2 and Shiraz Afzal

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

Active Technology for Communication Circuits

Active Technology for Communication Circuits EECS 242: Active Technology for Communication Circuits UC Berkeley EECS 242 Copyright Prof. Ali M Niknejad Outline Comparison of technology choices for communication circuits Si npn, Si NMOS, SiGe HBT,

More information

A Fundamental Approach for Design and Optimization of a Spiral Inductor

A Fundamental Approach for Design and Optimization of a Spiral Inductor Journal of Electrical Engineering 6 (2018) 256-260 doi: 10.17265/2328-2223/2018.05.002 D DAVID PUBLISHING A Fundamental Approach for Design and Optimization of a Spiral Inductor Frederick Ray I. Gomez

More information

Radio Frequency Electronics

Radio Frequency Electronics Radio Frequency Electronics Preliminaries IV Born 22 February 1857, died 1 January 1894 Physicist Proved conclusively EM waves (theorized by Maxwell ), exist. Hz names in his honor. Created the field of

More information

A GHz 32nm CMOS VCO with 177.5dBc/Hz minimum noise FoM using inductor splitting for tuning extension

A GHz 32nm CMOS VCO with 177.5dBc/Hz minimum noise FoM using inductor splitting for tuning extension A 33.6-46.2GHz 32nm CMOS VCO with 177.5dBc/Hz minimum noise FoM using inductor splitting for tuning extension E. Mammei, E. Monaco*, A. Mazzanti, F. Svelto Università degli Studi di Pavia, Pavia, Italy

More information

System-on-Chip Design Beyond 50 GHz

System-on-Chip Design Beyond 50 GHz System-on-Chip Design Beyond 50 GHz Sorin Voinigescu, Michael Gordon, Chihou Lee, Terry Yao, Alain Mangan, and Ken Yau University of Toronto July 20, 2005 1 Outline Motivation Optimal sizing of active

More information

Lecture 4 RF Amplifier Design. Johan Wernehag, EIT. Johan Wernehag Electrical and Information Technology

Lecture 4 RF Amplifier Design. Johan Wernehag, EIT. Johan Wernehag Electrical and Information Technology Lecture 4 RF Amplifier Design Johan Wernehag, EIT Johan Wernehag Electrical and Information Technology Lecture 4 Design of Matching Networks Various Purposes of Matching Voltage-, Current- and Power Matching

More information

Fabrication, Corner, Layout, Matching, & etc.

Fabrication, Corner, Layout, Matching, & etc. Advanced Analog Building Blocks Fabrication, Corner, Layout, Matching, & etc. Wei SHEN (KIP) 1 Fabrication Steps for MOS Wei SHEN, Universität Heidelberg 2 Fabrication Steps for MOS Wei SHEN, Universität

More information

Design of Efficient Filter on Liquid Crystal Polymer Substrate for 5 GHz Wireless LAN Applications

Design of Efficient Filter on Liquid Crystal Polymer Substrate for 5 GHz Wireless LAN Applications Design of Efficient Filter on Liquid Crystal Polymer Substrate for 5 GHz Wireless LAN Applications YASAR AMIN, PROF. HANNU TENHUNEN, PROF.DR.HABIBULLAH JAMAL, DR. LI-RONG ZHENG Royal Institute of Technology,

More information

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields James C. Rautio, James D. Merrill, and Michael J. Kobasa Sonnet Software, North Syracuse, NY, 13212, USA Abstract Patterned

More information

Dr.-Ing. Ulrich L. Rohde

Dr.-Ing. Ulrich L. Rohde Dr.-Ing. Ulrich L. Rohde Noise in Oscillators with Active Inductors Presented to the Faculty 3 : Mechanical engineering, Electrical engineering and industrial engineering, Brandenburg University of Technology

More information

30% PAE W-band InP Power Amplifiers using Sub-quarter-wavelength Baluns for Series-connected Power-combining

30% PAE W-band InP Power Amplifiers using Sub-quarter-wavelength Baluns for Series-connected Power-combining 2013 IEEE Compound Semiconductor IC Symposium, October 13-15, Monterey, C 30% PAE W-band InP Power Amplifiers using Sub-quarter-wavelength Baluns for Series-connected Power-combining 1 H.C. Park, 1 S.

More information

7. Low-Noise Amplifier Design

7. Low-Noise Amplifier Design 7. Low-Noise Amplifier Design 1 Outline Low noise amplifier overview Tuned LNA design methodology Tuned LNA frequency scaling and porting Broadband low noise amplifier design methodology 2 7.1 LNA overview

More information

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs.

Frequency Synthesizers for RF Transceivers. Domine Leenaerts Philips Research Labs. Frequency Synthesizers for RF Transceivers Domine Leenaerts Philips Research Labs. Purpose Overview of synthesizer architectures for RF transceivers Discuss the most challenging RF building blocks Technology

More information

DISTRIBUTED amplification, which was originally invented

DISTRIBUTED amplification, which was originally invented IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 56, NO. 3, MARCH 2009 185 A New Loss Compensation Technique for CMOS Distributed Amplifiers Kambiz Moez, Member, IEEE, and Mohamed Elmasry,

More information

Methodology for Simultaneous Noise and Impedance Matching in W-band LNAs

Methodology for Simultaneous Noise and Impedance Matching in W-band LNAs Methodology for Simultaneous Noise and Impedance Matching in W-band LNAs Sean T. Nicolson and Sorin Voinigescu University of Toronto sorinv@eecg.toronto.edu CSICS-006, San Antonio, November 15, 006 1 Outline

More information

Compact Distributed Phase Shifters at X-Band Using BST

Compact Distributed Phase Shifters at X-Band Using BST Integrated Ferroelectrics, 56: 1087 1095, 2003 Copyright C Taylor & Francis Inc. ISSN: 1058-4587 print/ 1607-8489 online DOI: 10.1080/10584580390259623 Compact Distributed Phase Shifters at X-Band Using

More information

Miniature 3-D Inductors in Standard CMOS Process

Miniature 3-D Inductors in Standard CMOS Process IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 4, APRIL 2002 471 Miniature 3-D Inductors in Standard CMOS Process Chih-Chun Tang, Student Member, Chia-Hsin Wu, Student Member, and Shen-Iuan Liu, Member,

More information

Low Flicker Noise Current-Folded Mixer

Low Flicker Noise Current-Folded Mixer Chapter 4 Low Flicker Noise Current-Folded Mixer The chapter presents a current-folded mixer achieving low 1/f noise for low power direct conversion receivers. Section 4.1 introduces the necessity of low

More information

Introduction: Planar Transmission Lines

Introduction: Planar Transmission Lines Chapter-1 Introduction: Planar Transmission Lines 1.1 Overview Microwave integrated circuit (MIC) techniques represent an extension of integrated circuit technology to microwave frequencies. Since four

More information

Rf Low Pass Filter Design And Fabrication Using Integrated Passive Device Technology

Rf Low Pass Filter Design And Fabrication Using Integrated Passive Device Technology University of Central Florida Electronic Theses and Dissertations Masters Thesis (Open Access) Rf Low Pass Filter Design And Fabrication Using Integrated Passive Device Technology 2006 Heli Li University

More information

Lecture 4. Maximum Transfer of Power. The Purpose of Matching. Lecture 4 RF Amplifier Design. Johan Wernehag Electrical and Information Technology

Lecture 4. Maximum Transfer of Power. The Purpose of Matching. Lecture 4 RF Amplifier Design. Johan Wernehag Electrical and Information Technology Johan Wernehag, EIT Lecture 4 RF Amplifier Design Johan Wernehag Electrical and Information Technology Design of Matching Networks Various Purposes of Matching Voltage-, Current- and Power Matching Design

More information

EM Analysis of RFIC Inductors and Transformers. Dr.-Ing. Volker Mühlhaus Dr. Mühlhaus Consulting & Software GmbH, Witten

EM Analysis of RFIC Inductors and Transformers. Dr.-Ing. Volker Mühlhaus Dr. Mühlhaus Consulting & Software GmbH, Witten EM Analysis of RFIC Inductors and Transformers Dr.-Ing. Volker Mühlhaus, Witten Do you love inductors? Image Kansas State University Inductors from the design kit tend to have the wrong value, optimized

More information

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism;

Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; Chapter 3 Field-Effect Transistors (FETs) 3.1 Introduction Field-Effect Transistor (FET) is one of the two major transistors; FET derives its name from its working mechanism; The concept has been known

More information

Investigation of a Voltage Probe in Microstrip Technology

Investigation of a Voltage Probe in Microstrip Technology Investigation of a Voltage Probe in Microstrip Technology (Specifically in 7-tesla MRI System) By : Mona ParsaMoghadam Supervisor : Prof. Dr. Ing- Klaus Solbach April 2015 Introduction - Thesis work scope

More information

Design of a Low Noise Amplifier using 0.18µm CMOS technology

Design of a Low Noise Amplifier using 0.18µm CMOS technology The International Journal Of Engineering And Science (IJES) Volume 4 Issue 6 Pages PP.11-16 June - 2015 ISSN (e): 2319 1813 ISSN (p): 2319 1805 Design of a Low Noise Amplifier using 0.18µm CMOS technology

More information

LF to 4 GHz High Linearity Y-Mixer ADL5350

LF to 4 GHz High Linearity Y-Mixer ADL5350 LF to GHz High Linearity Y-Mixer ADL535 FEATURES Broadband radio frequency (RF), intermediate frequency (IF), and local oscillator (LO) ports Conversion loss:. db Noise figure:.5 db High input IP3: 25

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

Radio-Frequency Circuits Integration Using CMOS SOI 0.25µm Technology

Radio-Frequency Circuits Integration Using CMOS SOI 0.25µm Technology Radio-Frequency Circuits Integration Using CMOS SOI.5µm Technology Frederic Hameau and Olivier Rozeau CEA/LETI - 7, rue des Martyrs -F-3854 GRENOBLE FRANCE cedex 9 frederic.hameau@cea.fr olivier.rozeau@cea.fr

More information

A 484µm 2, 21GHz LC-VCO Beneath a Stacked-Spiral Inductor

A 484µm 2, 21GHz LC-VCO Beneath a Stacked-Spiral Inductor A 484µm, GHz LC-VCO Beneath a Stacked-Spiral Inductor Rui Murakami, Kenichi Okada, and Akira Tokyo Institute of Technology, Japan 00/09/8 Contents Background Downsizing of LC-VCO Circuit Stacking Beneath

More information

Outcomes: Core Competencies for ECE145A/218A

Outcomes: Core Competencies for ECE145A/218A Outcomes: Core Competencies for ECE145A/18A 1. Transmission Lines and Lumped Components 1. Use S parameters and the Smith Chart for design of lumped element and distributed L matching networks. Able to

More information

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011 Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design Sonnet Application Note: SAN-201B July 2011 Description of Sonnet Suites Professional Sonnet Suites Professional is an industry leading full-wave

More information

The Design of E-band MMIC Amplifiers

The Design of E-band MMIC Amplifiers The Design of E-band MMIC Amplifiers Liam Devlin, Stuart Glynn, Graham Pearson, Andy Dearn * Plextek Ltd, London Road, Great Chesterford, Essex, CB10 1NY, UK; (lmd@plextek.co.uk) Abstract The worldwide

More information

Homework Assignment 03

Homework Assignment 03 Question (75 points) Homework Assignment 03 Overview Tuned Radio Frequency (TRF) receivers are some of the simplest type of radio receivers. They consist of a parallel RLC bandpass filter with bandwidth

More information

Design of reconfigurable multi-mode RF circuits

Design of reconfigurable multi-mode RF circuits Graduate Theses and Dissertations Graduate College 2013 Design of reconfigurable multi-mode RF circuits Xiaohua Yu Iowa State University Follow this and additional works at: http://lib.dr.iastate.edu/etd

More information

Single-Objective Optimization Methodology for the Design of RF Integrated Inductors

Single-Objective Optimization Methodology for the Design of RF Integrated Inductors Single-Objective Optimization Methodology for the Design of RF Integrated Inductors Fábio Passos 1, Maria Helena Fino 1, and Elisenda Roca 2 1 Faculdade de Ciências e Tecnologia, Universidade Nova de Lisboa

More information

Design Methodology and Applications of SiGe BiCMOS Cascode Opamps with up to 37-GHz Unity Gain Bandwidth

Design Methodology and Applications of SiGe BiCMOS Cascode Opamps with up to 37-GHz Unity Gain Bandwidth Design Methodology and Applications of SiGe BiCMOS Cascode Opamps with up to 37-GHz Unity Gain Bandwidth S.P. Voinigescu, R. Beerkens*, T.O. Dickson, and T. Chalvatzis University of Toronto *STMicroelectronics,

More information

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 93 CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 4.1 INTRODUCTION Ultra Wide Band (UWB) system is capable of transmitting data over a wide spectrum of frequency bands with low power and high data

More information

OPTIMIZED FRACTAL INDUCTOR FOR RF APPLICATIONS

OPTIMIZED FRACTAL INDUCTOR FOR RF APPLICATIONS OPTIMIZED FRACTAL INDUCTOR FOR RF APPLICATIONS B. V. N. S. M. Nagesh Deevi and N. Bheema Rao 1 Department of Electronics and Communication Engineering, NIT-Warangal, India 2 Department of Electronics and

More information

i. At the start-up of oscillation there is an excess negative resistance (-R)

i. At the start-up of oscillation there is an excess negative resistance (-R) OSCILLATORS Andrew Dearn * Introduction The designers of monolithic or integrated oscillators usually have the available process dictated to them by overall system requirements such as frequency of operation

More information

An On-Chip Differential Inductor and Its Use to RF VCO for 2 GHz Applications

An On-Chip Differential Inductor and Its Use to RF VCO for 2 GHz Applications JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL4, NO 2, JUNE, 2004 83 An On-Chip Differential Inductor and Its Use to RF VCO for 2 GHz Applications Je-Kwang Cho, Kyung-Suc Nah, and Byeong-Ha Park

More information

Varactor Loaded Transmission Lines for Linear Applications

Varactor Loaded Transmission Lines for Linear Applications Varactor Loaded Transmission Lines for Linear Applications Amit S. Nagra ECE Dept. University of California Santa Barbara Acknowledgements Ph.D. Committee Professor Robert York Professor Nadir Dagli Professor

More information

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 19, Number 3, 2016, 199 212 Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics Saurabh

More information

ISSCC 2006 / SESSION 17 / RFID AND RF DIRECTIONS / 17.4

ISSCC 2006 / SESSION 17 / RFID AND RF DIRECTIONS / 17.4 17.4 A 6GHz CMOS VCO Using On-Chip Resonator with Embedded Artificial Dielectric for Size, Loss and Noise Reduction Daquan Huang, William Hant, Ning-Yi Wang, Tai W. Ku, Qun Gu, Raymond Wong, Mau-Chung

More information

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS -3GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS Hyohyun Nam and Jung-Dong Park a Division of Electronics and Electrical Engineering, Dongguk University, Seoul E-mail

More information

Γ L = Γ S =

Γ L = Γ S = TOPIC: Microwave Circuits Q.1 Determine the S parameters of two port network consisting of a series resistance R terminated at its input and output ports by the characteristic impedance Zo. Q.2 Input matching

More information

57-65GHz CMOS Power Amplifier Using Transformer-Coupling and Artificial Dielectric for Compact Design

57-65GHz CMOS Power Amplifier Using Transformer-Coupling and Artificial Dielectric for Compact Design 57-65GHz CMOS Power Amplifier Using Transformer-Coupling and Artificial Dielectric for Compact Design Tim LaRocca, and Frank Chang PA Symposium 1/20/09 Overview Introduction Design Overview Differential

More information

High Frequency Passive Components

High Frequency Passive Components EECS 142 Laboratory #1 High Frequency Passive Components Prof. A. M. Niknejad and Dr. Joel Dunsmore University of California Berkeley, CA 94720 August 1, 2008 1 SMT Component SMA Connector 1 Introduction

More information

High Performance Silicon-Based Inductors for RF Integrated Passive Devices

High Performance Silicon-Based Inductors for RF Integrated Passive Devices Progress In Electromagnetics Research, Vol. 146, 181 186, 2014 High Performance Silicon-Based Inductors for RF Integrated Passive Devices Mei Han, Gaowei Xu, and Le Luo * Abstract High-Q inductors are

More information

ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY

ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY Progress In Electromagnetics Research B, Vol. 22, 171 185, 2010 ON-CHIP TECHNOLOGY INDEPENDENT 3-D MOD- ELS FOR MILLIMETER-WAVE TRANSMISSION LINES WITH BEND AND GAP DISCONTINUITY G. A. Wang, W. Woods,

More information

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 11.9 A Single-Chip Linear CMOS Power Amplifier for 2.4 GHz WLAN Jongchan Kang 1, Ali Hajimiri 2, Bumman Kim 1 1 Pohang University of Science

More information

A HIGH FIGURE-OF-MERIT LOW PHASE NOISE 15-GHz CMOS VCO

A HIGH FIGURE-OF-MERIT LOW PHASE NOISE 15-GHz CMOS VCO 82 Journal of Marine Science and Technology, Vol. 21, No. 1, pp. 82-86 (213) DOI: 1.6119/JMST-11-123-1 A HIGH FIGURE-OF-MERIT LOW PHASE NOISE 15-GHz MOS VO Yao-hian Lin, Mei-Ling Yeh, and hung-heng hang

More information

6.976 High Speed Communication Circuits and Systems Lecture 5 High Speed, Broadband Amplifiers

6.976 High Speed Communication Circuits and Systems Lecture 5 High Speed, Broadband Amplifiers 6.976 High Speed Communication Circuits and Systems Lecture 5 High Speed, Broadband Amplifiers Michael Perrott Massachusetts Institute of Technology Copyright 2003 by Michael H. Perrott Broadband Communication

More information

PART MAX2605EUT-T MAX2606EUT-T MAX2607EUT-T MAX2608EUT-T MAX2609EUT-T TOP VIEW IND GND. Maxim Integrated Products 1

PART MAX2605EUT-T MAX2606EUT-T MAX2607EUT-T MAX2608EUT-T MAX2609EUT-T TOP VIEW IND GND. Maxim Integrated Products 1 19-1673; Rev 0a; 4/02 EVALUATION KIT MANUAL AVAILABLE 45MHz to 650MHz, Integrated IF General Description The are compact, high-performance intermediate-frequency (IF) voltage-controlled oscillators (VCOs)

More information

Research Article Compact and Wideband Parallel-Strip 180 Hybrid Coupler with Arbitrary Power Division Ratios

Research Article Compact and Wideband Parallel-Strip 180 Hybrid Coupler with Arbitrary Power Division Ratios Microwave Science and Technology Volume 13, Article ID 56734, 1 pages http://dx.doi.org/1.1155/13/56734 Research Article Compact and Wideband Parallel-Strip 18 Hybrid Coupler with Arbitrary Power Division

More information

Chapter 1. Introduction

Chapter 1. Introduction EECS3611 Analog Integrated Circuit esign Chapter 1 Introduction EECS3611 Analog Integrated Circuit esign Instructor: Prof. Ebrahim Ghafar-Zadeh, Prof. Peter Lian email: egz@cse.yorku.ca peterlian@cse.yorku.ca

More information

AN-1098 APPLICATION NOTE

AN-1098 APPLICATION NOTE APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com Methodology for Narrow-Band Interface Design Between High Performance

More information

Introduction. Keywords: rf, rfdesign, rfic, vco, rfics, rf design, rf ics. APPLICATION NOTE 530 VCO Tank Design for the MAX2310.

Introduction. Keywords: rf, rfdesign, rfic, vco, rfics, rf design, rf ics. APPLICATION NOTE 530 VCO Tank Design for the MAX2310. Maxim > Design Support > Technical Documents > Application Notes > Wireless and RF > APP 530 Keywords: rf, rfdesign, rfic, vco, rfics, rf design, rf ics APPLICATION NOTE 530 VCO Tank Design for the MAX2310

More information

65-nm CMOS, W-band Receivers for Imaging Applications

65-nm CMOS, W-band Receivers for Imaging Applications 65-nm CMOS, W-band Receivers for Imaging Applications Keith Tang Mehdi Khanpour Patrice Garcia* Christophe Garnier* Sorin Voinigescu University of Toronto, *STMicroelectronics University of Toronto 27

More information

Design and power optimization of CMOS RF blocks operating in the moderate inversion region

Design and power optimization of CMOS RF blocks operating in the moderate inversion region Design and power optimization of CMOS RF blocks operating in the moderate inversion region Leonardo Barboni, Rafaella Fiorelli, Fernando Silveira Instituto de Ingeniería Eléctrica Facultad de Ingeniería

More information

Wiring Parasitics. Contact Resistance Measurement and Rules

Wiring Parasitics. Contact Resistance Measurement and Rules Wiring Parasitics Contact Resistance Measurement and Rules Connections between metal layers and nonmetal layers are called contacts. Connections between metal layers are called vias. For non-critical design,

More information

ECE 145A and 218A. Transmission-line properties, impedance-matching exercises

ECE 145A and 218A. Transmission-line properties, impedance-matching exercises ECE 145A and 218A. Transmission-line properties, impedance-matching exercises Problem #1 This is a circuit file to study a transmission line. The 2 resistors are included to allow easy disconnection of

More information

AVoltage Controlled Oscillator (VCO) was designed and

AVoltage Controlled Oscillator (VCO) was designed and 1 EECE 457 VCO Design Project Jason Khuu, Erik Wu Abstract This paper details the design and simulation of a Voltage Controlled Oscillator using a 0.13µm process. The final VCO design meets all specifications.

More information

EDA Toolsets for RF Design & Modeling

EDA Toolsets for RF Design & Modeling Yiannis Moisiadis, Errikos Lourandakis, Sotiris Bantas Helic, Inc. 101 Montgomery str., suite 1950 San Fransisco, CA 94104, USA Email: {moisiad, lourandakis, s.bantas}@helic.com Abstract This paper presents

More information

Grundlagen der Impedanzmessung

Grundlagen der Impedanzmessung Grundlagen der Impedanzmessung presented by Michael Benzinger Application Engineer - RF & MW Agenda Impedance Measurement Basics Impedance Basics Impedance Dependency Factors Impedance Measurement Methods

More information

Keywords: rf, rfic, wireless, cellular, cdma, if, oscillator, rfics, IF frequencies, VCO, rf ic

Keywords: rf, rfic, wireless, cellular, cdma, if, oscillator, rfics, IF frequencies, VCO, rf ic Maxim > Design Support > Technical Documents > Application Notes > Wireless and RF > APP 272 Keywords: rf, rfic, wireless, cellular, cdma, if, oscillator, rfics, IF frequencies, VCO, rf ic APPLICATION

More information

RF2334. Typical Applications. Final PA for Low Power Applications Broadband Test Equipment

RF2334. Typical Applications. Final PA for Low Power Applications Broadband Test Equipment RF233 AMPLIFIER Typical Applications Broadband, Low Noise Gain Blocks IF or RF Buffer Amplifiers Driver Stage for Power Amplifiers Final PA for Low Power Applications Broadband Test Equipment Product Description

More information

Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design. by Dr. Stephen Long University of California, Santa Barbara

Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design. by Dr. Stephen Long University of California, Santa Barbara Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design by Dr. Stephen Long University of California, Santa Barbara It is not easy to design an RFIC mixer. Different, sometimes conflicting,

More information