Design and Analysis of High Speed Links

Size: px
Start display at page:

Download "Design and Analysis of High Speed Links"

Transcription

1 Design and Analysis of High Speed Links Wendem Beyene Rambus Inc. Sunnyvale, CA USA 17 th Workshop on Signal and Power Integrity (SPI) May 12 15, 2013 Paris, France 1

2 ITRS Roadmap & Memory Trends Increasing bandwidth to meet today s applications needs The aggregate data rate is to exceed several TB/s Package size and pin count cannot keep up Interface width and date rate are increasing rapidly K. Zhang, Memory Trends, ISSCC

3 Major High Speed Bus and Networks Memory Bus (Single ended, Parallel) DDR4 (4.266 Gbps) LPDDR4 (4.266 Gbps) GDDR5 (7 Gbps) XDR (differential, 4.8 Gbps) Wide IO2, HBM Front Side Bus (Differential, parallel) QuickPath Interconnect (6.4 Gbps) HyperTransport (6.4 Gbps) Computer IO (Differential, serial) PCIe (8 Gbps) InfiniBand (10 Gbps) Cable (Differential, Serial) USB (5 Gbps) HDMI (8 Gbps) FireWire: Cat 5, Cat 5e, Cat 6 Storage (Differential, serial) emmc, UFS (6 Gbps) SAS, STATA (6 Gbps) FiberChannel (10 20 Gbps) Ethernet (Differential, serial) XAUI (10 Gbps) XFI (10 Gbps) CEI 6GLR SONNET (10 Gbps) 10GBase x, 100GBase (25 Gbps) 3

4 High Speed Link Design Challenges Package size and pin count cannot keep up with the increase in silicon processing speed Increasing data rate per pin can be challenging Channel attenuation increases with the data rate low loss PCB and package technologies Transmit EQ, CTLE, and DFE Complex Interactions of the channel and circuit blocks Crosstalk and reflection Advanced packaging, high density interconnect (HDI) Judicious routing and controlled impedance Power supply noise and induced jitter Reference voltage generation, Supply noise tracking PDN design and use of decoupling capacitors Data encoding 4

5 Signaling Issues vs. Data Rate Impedance matching across transmission line Return current path control Voltage Reflection control Device I/O capacitance acts as LPF SSN / Ground bounce Cross talk Connector stub Skin effect Dielectric loss Inter symbol interference Via stub effect Timing Surface roughness 100M 1G 3G 5G 10G Timing variation between clock and data Timing variation across all pins Timing variation due to coupling ISI effect Intra pair skew PSIJ 5

6 Components of High Speed Design Transmitter Interconnect Receiver PCB trace, package, connector, cable What makes a link Transmi er Interconnect Receiver Signaling : sending and receiving information Clocking : Determine which bit is which 6

7 Outline Introduction and Overview High Speed Channel I/O Circuits Equalization Clocking and Timing Advanced Signaling Performance Evaluation Summary Typical channels Source of losses Reflection Crosstalk ISI 7

8 Typical High Speed Channels Chip B Chip A Package Chip A Chip B Package PCB PCB Channel 2: Graphics (Gaming) Channel 1: Mobile (Cell phones) Package Package Daughter card Package Package Package Package Package Package Package Package Package Package Package Package Package Package Memory cards DRAM Memory controller Package PCB Backplane 8 Channel 3: Computing (desktop) Channel 4: Networking (routers)

9 Typical Channel Characteristics : Mobile : FSB, Graphics : Computing : Networking The channel complexity increases Package chip to chip one connector two connectors W. Beyene, et al, A Study of Optimal Data Rates of High Speed Channels Mobile package trace < 30 mm Graphics Short PCB trace < 150 mm Computing Long PCB trace a connector < 300 mm Network systems Backplane daughter cards two connectors 1 m 9

10 Sources of Loss Series resistance Surface roughness DC resistance Fiber weave effects Skin effect resistance Frequency dependent Shunt conductance loss material properties DC conductance Dielectric absorption Other Noises Reflection Crosstalk Device parasitic and ESD capacitance 10

11 Skin Effect Resistance and Dielectric Absorption As frequency increases, dielectric loss overtakes the skin effect resistance as the dominant loss mechanism Dielectric loss dominates at multi gigabit data rates PCB with low loss laminates for newer backplanes 11

12 Surface roughness and Fiberglass Weave Effects Surface roughness for low loss laminates Surface roughness increases resistance significantly at high frequency High speed signal placement over the various parts of the Fiber weave affects performance Timing skew and mode conversion beyond 5 Gbps Jeff Loyer, et al, Fiber Weave Effect: Practical Impact Analysis and Mitigation Strategies 12

13 Dielectric Loss Model Accurate representation of frequency dependent material properties is critical Svesson/Djordjevic model Frequency dependent model that fulfills causality requirement Verified with measurement for FR4 laminate P. Debye suggested similar model in Polar Molecules, Dover 1929 Dielectric Constant Loss Tangent Frequency, Hz Simberian Electromagnetic Solutions ( ) Frequency, Hz 13

14 An Example : Backplane TDR Waveform Switch card package Switch card chip AC-coupling cap Switch Card PCB Connector 1 Line card package Line card chip Line card PCB Connector 2 Backplane PCB Critical issues: loss, reflections, crosstalk, skew There are many sources of impedance mismatch and crosstalk Primary reflection sources are at the connector/backplane transition, via stubs 14

15 Crosstalks Tx Rx Rx Tx Rx Tx Many sources Off Chip : Package, PCB traces, Connector, Vias On chip Both NEXT & FEXT NEXT typically 0 6%, FEXT typically 0 5% Crosstalk noise travels in both directions 15

16 Intersymbol Interference (ISI) Band limited channels mean dispersion Losses causes the falling edge to occur at different voltage levels, depending on the data pattern The short pulse gets spread out and adds jitter Crosstalk and reflection further degrades the response Single bit response (SBR) What is observed at the receiver when the transmitter sends a single bit pulse Middle sample is corrupted by 0.2 trailing ISI (from the previous symbol), and 0.1 leading ISI (from the next symbol) resulting in 0.3 of total ISI As a result middle symbol is detected in error Amplitude Error! Symbol time 16

17 Outline Introduction and Overview High Speed Channel I/O Circuits Equalization Clocking and Timing Advanced Signaling and Coding Performance Evaluation Summary Signaling Transmitter Receiver Termination 17

18 Block Diagram of a High Speed Link Ser Des The transmitter serializes and sends the data The data is synchronized with local clock generated by PLL The transmission medium distorts the signal Attenuation, dispersion, reflection, crosstalk, Receiver amplifies, conditions, samples, and de Serializes data CDR synchronizes a local clock with the frequency and phase of the incoming data Clock synchronizes the signal 18

19 Single ended vs. Differential Single ended signaling compare to shared reference Often used with a bus Issues Generates SSO noise How to make reference How to quiet reference : difference in bypass network if shared Crosstalk cannot be made common mode Differential must run > 2x as fast as single ended to make sense More expensive to implement? 19

20 20

21 TX Circuit Speed Limitations High speed links can be limited by both channel and circuits The performance and power consumption of circuit blocks affected by device speed, process technology, and supply Clock generation and distribution is key circuit bandwidth bottleneck Multiplexing circuitry also limits maximum data rate For power efficient solution Minimum clock period = 6 ~ 8 FO4 delay 21

22 What is FO4? FO4 is the delay of one stage in a chain of inverters, where each of the inverters in the chain drives a capacitive load (fan out) that is 4X larger than its input capacitance. Different circuit structures expressed in this normalized delay can be compared across process nodes. Provide insight into the 1st order clock speed limit for process node at given FO4 delay. For example, min clock period = 6 ~ 8 FO4 delay FO4 delays give us process independent design guide If clock period >> 6 ~ 8 FO4 delay More power needed larger jitter & ISI (clocks don t touch rails) If clock period << 6 ~ 8 FO4 delay Can achieve the same performance with less power S. Sidiropoulos, et al High speed electrical signaling FO4 22

23 Multiplexing Techniques ½ Rate Full rate architecture is limited by maximum clock frequency to 8 FO4 delay To increase data rates, use multiple phases of a slower clock to mux data Half rate architecture uses 2 clock phases separated by 180 to mux data Accurate 180 phase spacing critical for uniform output eye 23 C. K. Yang, et al A 0.5 um CMOS 4.0 Gbit/s serial link transceiver with data recovery

24 RiCi & Pad Complexity R s L G d C L I C I R I dominate RiCi constitutes of ESD parasitics On chip routing and Pad capacitance Driver and receiver parasitics RiCi can add dominant pole that further bandlimits the channel In multi drop busses, RiCi s make the channel severely bandlimited Minimizing of parasitic and ESD capacitance is essential for highspeed link 24

25 ESD Between the tip of the finger and a chip Between the tip of the assembly machine tool and the chip Between the charged device pin and ground Failures result from gate oxide breakdown, device/wire melting etc. J. H. Chun, ESD Design Challenges and Strategies in Deeply scaled Integrated Circuits 25

26 Termination Termination keeps energy from bouncing around In current mode signaling voltage is developed across the terminator Quality of termination can limit system performance Termination types External vs. internal Series vs. Parallel AC vs. DC termination Untrimmed poly Active termination 26

27 External vs. Internal Package parasitic act as an unterminated stub which sends reflections back onto the line On chip termination makes package inductance part of transmission line W. J. Dally and J. W. Poulton, Digital Systems Engineering 27

28 AC vs DC Coupled Termination Rx common mode = IR/2 Rx common mode = V TT DC coupling allows for uncoded data RX common mode set by transmitter signal level AC coupling allows for independent RX common mode level Now channel has low frequency cut off Data must be coded Potential power savings when AC terminated Series vs. Parallel Termination Series termination Low impedance voltage mode driver typically employs Parallel termination High impedance current mode driver typically employs Double termination yields best signal quality 28

29 On Chip Termination Passive termination typically realized with unsalicided poly, diffusion, or n well resistors Better linearity and tighter tolerances, Active termination Triode biased FET works well for low swing (<500mV) Adding a diode connected FET increases linear range Pass gate structure allows for differential termination 29

30 Adjustable Termination With increased CMOS variation calibration is necessary Off chip precision resistor is used as reference On chip termination is varied until voltages are within an LSB Dither filter typically used to avoid voltage noise Control loop may be shared among several links 30

31 Tx Slew Rate Control Output stage slew rate is controlled to reduce noise Crosstalk noise Simultaneous switching noise Reflections at discontinuities Too slow Limits max data rate Slew rate control is accomplished by controlling the pre driver delay and/or pre driver strength Output stage is divided and pre drive signal is designed to sequentially arrive at the different sections 31

32 RX Static Amplifiers Single Ended Inverter CMOS inverter is one of the simplest RX pre amplifier structures Termination voltage, V TT, should be placed near inverter trip point Issues: Limited gain (<20) High PVT variation results in large input referred offset Single ended operation makes it both sensitive to and generate supply noise 32

33 RX Block Diagram RX must sample the signal with high timing precision and resolve input data to logic levels with high sensitivity Input pre amp can improve signal gain and improve input referred noise Can also be used for equalization, offset correction, and fix sampler common mode Must provide gain at high bandwidth corresponding to full data rate Comparator can be implemented with static amplifiers or clocked regenerative amplifiers Clocked regenerative amplifiers are more power efficient for high gain Decoder needed for advanced modulation (PAM4, Duo binary) RX design issues : Offset, aperture, gain, ISI, metastability, input referred and random noise 33

34 1:4 Demultiplexing RX Example Easier clock distribution if process is being pushed to limit Increased demultiplexing allows for higher data rate at the cost of increased input or pre amp load capacitance Higher multiplexing factor more sensitive to phase offsets in degrees 34

35 Receiver Offset Optimization Offset Calibration 0 D D CTLE Amp D EVEN CLK EVEN Amp D ODD CLK ODD Offset Control Receiver block diagram Log(BER) Receiver offset optimization The optimum offset codes are determined by stepping through the offset codes and measuring link BER The impact of receiver offset on the BER when receiving a signal with small swing 35

36 Outline Introduction and Overview High Speed Channel I/O Circuits Equalization Clocking and Timing Advanced Signaling and Coding Performance Evaluation Summary Receive Linear Equalization Transmit Linear Equalization Rx Linear EQ RX DFE Setting coefficients 36

37 A High Speed Link with Equalizers Mitigate ISI effects using equalization Channel is low pass Equalizers are high pass Equalizers are implemented using Finite impulse response Continuous time linear equalizer Decision feedback equalizer 37

38 Transmit Equalization Implementation Tx Data Causal taps Anticausal taps Peak power constraint Relatively simple to implement Attenuates the low frequencies Peak power constraint Additional taps & range reduce signal swing More swing puts current sources out of saturation Setting TX coefficients is tough Need back channel d I eq0 Channel outp outn d Attenuation [db] equalized unequalized frequency [GHz] Amplitude of equalized signal depends on the channel Voltage Unequalized Equalization Pulse End of Line Unequalized Equalization Pulse End of Line time (ns) 38

39 Rx Linear Equalizer Implementation Amplifies high frequencies attenuated by the channel Also amplifies noise! Setting coefficients R eq C eq Source connected RC pole At low frequency, diff pair gain is degenerated by Rs At high frequency, capacitor becomes a short, and thus increases gain 39

40 Rx Linear Equalizer :More Gain Build peaking amplifier by use of inductors: Area intensive Multi stage Rx Linear EQ for more gain thru reverse scaling Linearity is a challenge Limited by gain bw of diff pair stage Sensitive to PVT variations Sensitive to device mismatch S. Gondi et al, Equalization and clock data recovery techniques for 10 Gb/s CMOS 40

41 Decision Feedback Equalization Don t invert channel just remove ISI Know ISI because already received symbols Doesn t amplify noise No peak power constraint issues Has error accumulation problem Less of an issue in links where noise is small Requires a feed forward equalizer for precursor ISI Reshapes pulse to eliminate precursor Timing to first tap feedback can be difficult at higher data rates Amplitude Feedback equalization Symbol time 41

42 DFE Challenges : Loop Timing Feedback loop timing is extremely tight! Need to resolve the received bit, multiply by coefficient and analog sum This is what makes DFE s hard for serial links 42

43 Partial Response DFE Via Loop Unrolling +1+α +α -1+α +1-α -α -1-α x n +α -α dclk dclk 1 1 d n d n 1 0 d n d n DQ Instead of subtracting the error Move the slicer level to include the noise Slice for each possible level, since previous value unknown Remove the feedback loop constraint Requires proper calibration of offset levels Complexity grows by 2 N Requires offset levels and additional sampler parasitics Clock recovery challenging V. Stojanovic,, Autonomous dual mode (PAM2/4) serial link transceiver with adaptive d n 1 43

44 Equalizer Optimization Algorithms Two Types :ZF vs. MMSE ZF (Zero Forcing) Direct and easy to implement ZF implies infinite filter gain at points of singularity (MMSE) Minimum Mean Square Error ZF amplifies noise at those null frequencies Hence is often preferred over ZF If there is no Gaussian noise, ZF=MMSE Three Basic Methods for Setting Equalization Coefficients Lookup table set and forget Simple, based on lab measurement Subject to manufacturing and environment variations Adapt once on power up More complex Subject to environment variation Continuous adaptation Most complex Most complete 44

45 Outline Introduction and Overview High Speed Channel I/O Circuits Equalization Clocking and Timing Advanced Signaling and Coding Performance Evaluation Summary Clocking overview Common clock Source synchronous Embedded clocks Asynchronous systems 45

46 Synchronizing/Timing Critical signal: provide timing or synchronization for the system. Specify when a data should be transmitted and received Clock jitter is the single most important degrader of performance Clocking circuits burn large percentage of power Minimize BER Sample at max eye opening for max SNR Roughly midpoint between transition crossing 46

47 Components of Basic High Speed Link Off chip clock skew can easily be corrected On chip clock skew is a major challenge Noise generated by switching circuits Temperature profile across chip Power vs. performance Clock distribution in CMOS (less power) or CML (better PSIJ) 47

48 Phase Locked and Delay Locked Loops PLLs and DLLs find wide application in areas such as communications, wireless systems, digital circuits and disk drive electronics. Benefits: Jitter Reduction Skew Suppression Frequency Synthesis Clock Recovery Minimize BER Sample at max eye opening for max SNR Roughly midpoint between transition crossing Timing information of data transitions required 48

49 I/O Clocking Architectures Three basic I/O architectures Common Clock (Synchronous) Forward Clock (Source Synchronous) Embedded Clock (Clock Recovery) These I/O architectures are used for varying applications that require different levels of I/O bandwidth A processor may have one or all of these I/O types Often the same circuitry can be used to emulate different I/O schemes for design reuse 49

50 Common Clock I/O Architecture Synchronous system Common bus clock controls chip to chip transfers Equal length card routes to each chip & on chip PLL s minimize clock skew Common in original computer systems Data rates typically limited to ~100Mb/s 50

51 Common Clock I/O Limitations Difficult to control clock skew and propagation delay Need to have tight control of absolute delay to meet a given cycle time Sensitive to delay variations in on chip circuits and board routes Hard to compensate for delay variations due to low correlation between on chip and off chip delays While commonly used in on chip communication, offers limited speed in off chip I/O applications 51

52 Clock Forwarding I/O Architecture The clock is sourced by the same device as the data and travels the same path Coherent clocking allows low to high frequency jitter tracking Often the clock is distributed across abyte or two Often one clock net and PLL in one byte Adjust the skew of the clock to the center of the data at the receiver 52

53 Clock Forwarding I/O De Skew Per channel de skew allows for significant data rate increases Sample clock adjusted to center clock on the incoming data eye Implementations Delay Locked Loop and Phase Interpolators Injection Locked Oscillators Clock forwarding I/O limitations Low pass channel causes jitter amplification 20 db channel loss could result 5X DCD amplification Clock skew can limit forward clock I/O performance 53

54 Embedded Clock I/O No separate clock net pin etc Advantageous when channel is long or changing Can be used in Mesochronous or Plesiochronous systems Clock frequency and optimum phase position are extracted from incoming data stream Requires CDR 54

55 CDR: Clock & Data Recovery Recovering clock from the data Basic functionality of CDR is to recover and track optimum sampling point from the given data sequence Within the Tracking bandwidth (f jitter << f CDR ) CDR can track several UI of Jitter without loosing timing margin High tolerance to jitter on data sequence Pros Allows separate clock sources on different boards Don t have to match trace lengths, delays Easier system design / clock distribution Cons Expensive: takes area, power Requires coding or transition density or training sequence 8b10b coding uses 10b to transfer 8b of info; 20% BW loss Jitter tracking limited by CDR bandwidth 55

56 CDR: Clock & Data Recovery Cont d Tx CDR Rx Recovered clock %N f REF Data PLL f REF PFD up dn Initial freq tracking Data!! PD up dn R C Data phase, freq tracking 56

57 CDR & EQ Interaction DFE Tx Data Tx Linear Eq Channel dclk Sampled Data Sampled Edge eclk CDR CDR interacts with other blocks in receiver path Fundamental issue conditioning signal edges effects CDR edge position CDR edge position effects observed ISI Can effect both Tx & Rx coefficients What is best solution for lowest BER? J. Ren, et al Precursor ISI Reduction in High Speed I/O

58 Outline Introduction and Overview High Speed Channel I/O Circuit Equalization Clocking and Timing Advanced Signaling and Coding Performance Evaluation Summary Multilevel signaling Multi tone Coded differential Controlled ISI DBI 58

59 Advanced Signaling In order to remove ISI, we attempt to equalize or flatten the channel response out to the Nyquist frequency For more frequency dependent loss, move the Nyquist frequency to a lower value via more advance modulation 4 PAM (or higher) Duobinary Coding and scrambling Reduce the likely hood of worst case ISI 59

60 NRZ Vs. PAM 4 Nyquist bw constraint : only Rs/2 to support an Rs symbol rate PAM 4 can be interesting when Slope of channel insertion loss exceeds reduction in PAM 4 eye height Insertion loss over an octave is greater than 20*log(1/3) = 9.54 db On chip clock speed limitations 60 J. Zerbe, et al Equalization and clock recovery for a Gb/s 2 PAM/4 PAM backplane

61 Multi Level PAM Challenges Need to balance with Tx, RX circuit complexity Advanced equalization (DFE) can allow NRZ signaling to have comparable (or better) performance even with > 9.5dB loss per octave Receiver complexity increases considerably 3x input comparators (2 bit ADC) Input signal is no longer self referenced at 0V differential DFE complexity doubles if required CDR can display extra jitter due to multiple zero crossing times Smaller eyes are more sensitive to crosstalk due to maximum transitions 61

62 Multi tone Signaling Instead equalizing out to baseband Nyquist frequency Divide the channel into bands with less frequency dependent loss Should result in less equalization complexity for each sub band Requires up/down conversion Discrete Multi tone used in DSL modems with very challenging channels Lower data rates allow for high performance DSP High speed links don t have this option (yet) 62 A. Amirkhany, et al, Practical limits of multi tone signaling over high speed backplane

63 Coded Differential (CD) Signaling Coding has been used to improve link performance and power efficiency Code two bits over four wires Encoder and decoder are the two major components Six samplers to receive differentially Preserve the good properties of diff. signaling 0.5 bits/pin efficiency No throughput loss compared to differential Apply coding to eliminate 1 st post cursor ISI 63 Group No. Group 0 (G1) Group 1 (G1) Group 2 (G2) Code No. Wires a b c d

64 Advantages of CD Signaling Short Channel Long Channel Coded Differential Differential The CD signaling completely eliminates the first post cursor inter symbol interference Minimum supply noise generation and immunity to common mode noise W. Beyene, et al Design and Analysis of a High Speed Channel for Coded Differential Signaling 64

65 Outline Introduction and Overview High Speed Channel I/O Circuits Equalization Clocking and Timing Advanced Signaling and Coding Performance Evaluation Summary Link simulation Link measurement Link budget 65

66 Link Analysis Technique To design systems that work reliably the first time noise budgets timing budgets Need to calculate Performance at lower BER Target BER at 10E 20 Include jitter of all frequencies and arbitrary distributions Capture interaction between driver, receiver, clock and channel Easy integration of equalization or coding algorithms Efficiency Avoid Monte Carlo type Analysis Simulation to optimize equalization, sampling, Reasonable calculation time 66

67 Circuit Simulation Methods Time Domain Techniques Transient analysis Convolution method Shooting methods Frequency domain technique AC analysis Small and large signal Scattering analysis Harmonic Balance method Hybrid methods Circuit envelope methods Fast Channel Simulation Bit by bit simulation Statistical simulation method 67

68 Advantage Fast Channel Simulation Accurate reprentation of channel using S parameter Direct convolution : model order reduction method Interaction between circuit and channel characteristics Compare different modulation techniques: NRZ, partial signaling,... Quantify performance improvement and cost due Better package, low loss PCB laminate better board ref clock backdrill vias improved supply filtering Relate PLL jitter to BER or max data rate. Quantify the minimum PLL BW (before failure). What type of equalization should I use? Know how well equalizer coefficients can be optimized using adaptive control Evaluate impact of spread spectrum : data rate reduction Decide where I should put most of my design effort. PLL, DLL, buffer, equalizer, RX A. Sanders, Statistical simulation of physical transmission media 68

69 V Stojanovic, et al Modeling and analysis of high speed links 69

70 Measurements of Complete Link On bench measurements can be limited by Stub effects Probe loading effects On chip measurement techniques can allow us To accurately characterize high speed links To capture the interaction between passive and active circuits Indirect measurement and on chip measurement techniques enable us to characterize components or link that are not easily observable Time and frequency domain responses from onchip and on bench measurements are correlated 70

71 W. Beyene, et al Advanced Modeling and Accurate Characterization 71

72 Timing Budget RDRAM : Timing and voltage are balanced separately Component of RAC to RDRAM Timing Budget ps % Bit time RAC tq % RDRAM tsh % tce % tj % Margin % Total 100.0% 72

73 Voltage Budget 250mV differential signal 5% ISI from reflections 5% crosstalk from adjacent lines 15% high frequency attenuation 20mV receiver offset + sensitivity 15mV RMS Gaussian noise Bit Error approximated VSNR BER exp mV Vswing (dpp, +/-250mV) 500 Gross Margin 250 Crosstalk 5% 25 Reflections 5% 25 Attenuation 15% 75 KNoise-total 25% 125 Receiver offset+sensitivity 20 Bounded noise 145 Net Margin 105 Gaussian Noise (rms) 15 VSNR (margin/noise) 7 BER 2.29E-11 W. J. Dally and J. W. Poulton, Digital Systems Engineering 73

74 Fiber Channel Methodologies Total jitter = Deterministic (DJ) + random jitter (RJ) DJ: Non Gaussian, bounded in amplitude specific causes (duty cycle distortion, data dependent, sinusoidal and uncorrelated (power supply noise injection) DJ is measured as a peak to peak value and adds linearly RJ: Gaussian and measured as an RMS value RJ: Peak to peak jitter = 14 * RMS jitter for a BER of 10E 12 Total jitter = peak to peak DJ + peak to peak RJ Jitter measurement definitions Jitter tolerance test specified for CDR Jitter Budget Example PCI Express System 74

75 Link Budget Newer approach is needed to managing jitter and noise in new generation interfaces To remove pessimism built in equation based or spread sheet based link budget Capture the interaction between off chip and on chip blocks Consider the relationship between voltage noise and timing jitter Adopt more realistic jitter and noise models Consider jitter and noise spectrum Include jitter/noise enhancement, filtering, and tracking Balance budget with power efficient solutions Both distribution and spectral content need to be considered 75

76 Complete Link Model Data Clock Timing Bathtub Curves Measured Nominal - - Worst-case Voltage Bathtub Curves Log (BER) Time (ps) W. Beyene, et al Advanced Modeling and Accurate Characterization 76

77 Summary Accurate modeling and analysis of high speed channels Surface roughness, Fiber weave effects, Interaction between on chip circuits and off chip components Interaction between clock recovery and equalization adaptation loops CDR and EQ interaction Advanced modulation and coding can improve link performance Multi level, multi tone, coding Fast link simulation Nonlinearity Jitter correlation and spectrum Both voltage noise and timing jitter Performance of high speed link are verified with both on chip and off chip measurement techniques 77

78 Acknowledgments A lot of the materials are borrowed from internal and external presentations made by many Rambus engineers 78

79 Backup Slides Partial Response Signaling Data Bus Inversion (DC and AC) 79

80 Controlled ISI Channel Design Channel capacity can be improved using controlled inter symbol interference (ISI) design technique Shaping the channel to match a partial response system by intentionally introducing additional loss or impedance discontinuities Since the ISI is known or controlled, it can be removed at the receiver produce correlated signals converts binary to multilevel signals also known as Partial Response signaling 80

81 Eye Diagrams of PR Systems Duobinary Class 2 Eye Diagrams of Duobinary and Class 2 Signals have multiple decision thresholds The PR systems with desirable spectral properties and small number of levels are used W. Beyene, et al Controlled Inter Symbol Interference Design Techniques 81

82 Scrambling and DBI Coding Perform measurements to evaluate the impact of scrambling, DBI DC and DBI AC on system margin Scrambling and DBI require a very simple logic at transmitter and receiver DBI coding requires an additional pin Scrambling (combined with error detect and retransmit) can significantly improve the margin Data LFSR Block diagram of a link with data scrambling V DDIO V DDIO LFSR 8 8 Channel Data Tx & EQ V ref Rx & EQ 82

83 Data Bus Inversion DC Counts the number of zeros within a byte and decides whether to invert (Limit to 4) If ( 0 count >4) Invert and (set DBI= 0 ) Else ( 0 count 4) No invert (set DBI= 1 ) V DDIO V DDQ Channel V SS Transmitting Zero: PODL Signaling (GDDR5, DDR4) V ref M. R. Stand and W. P Burleson, Bus invert coding for low power I/O, 83

84 Data Bus Inversion AC Limit the number of DQ lines per byte switching to 4 Counts the number of bits switching within a byte and decides whether to invert. If ( 0 count >4) Invert and (set DBI= 0 ) Else ( 0 count 4) No invert (set DBI= 1 ) Signals Transmitted Data Data Bus Received Data Sequence DQ DQ DQ DQ DBI DBI DQ Encode Decode DQ DQ DQ DBI Switching Switching Number of Switchings Number of bytes Number of switching bits on the bus 84

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

ECE 546 Introduction

ECE 546 Introduction ECE 546 Introduction Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 Future System Needs and Functions Auto Digital

More information

ECEN 620: Network Theory Broadband Circuit Design Fall 2012

ECEN 620: Network Theory Broadband Circuit Design Fall 2012 ECEN 620: Network Theory Broadband Circuit Design Fall 2012 Lecture 23: High-Speed I/O Overview Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 3 is postponed to Dec. 11

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 0 Lecture 8: RX FIR, CTLE, & DFE Equalization Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 Lecture 10: Termination & Transmitter Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 207 Lecture 8: RX FIR, CTLE, DFE, & Adaptive Eq. Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 4 Report and Prelab

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits 1 ECEN 720 High-Speed Links: Circuits and Systems Lab6 Link Modeling with ADS Objective To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

High-Speed Links. Agenda : High Speed Links

High-Speed Links. Agenda : High Speed Links High-Speed Links Vladimir Stojanovic (with slides from M. Horowitz, J. Zerbe, K.Yang and W. Ellersick) EE371 Lecture 16 Agenda : High Speed Links High-Speed Links, What,Where? Signaling Faster - Evolution»

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN72: High-Speed Links Circuits and Systems Spring 217 Lecture 4: Channel Pulse Model & Modulation Schemes Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Lab 1 Report

More information

Statistical Link Modeling

Statistical Link Modeling April 26, 2018 Wendem Beyene UIUC ECE 546 Statistical Link Modeling Review of Basic Techniques What is a High-Speed Link? 1011...001 TX Channel RX 1011...001 Clock Clock Three basic building blocks: Transmitter,

More information

To learn Statistical Bit-error-rate (BER) simulation, BERlink noise budgeting and usage of ADS to model high speed I/O link circuits.

To learn Statistical Bit-error-rate (BER) simulation, BERlink noise budgeting and usage of ADS to model high speed I/O link circuits. 1 ECEN 720 High-Speed Links Circuits and Systems Lab6 Link Modeling with ADS Objective To learn Statistical Bit-error-rate (BER) simulation, BERlink noise budgeting and usage of ADS to model high speed

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture #7 Components Termination, Transmitters & Receivers Jared Zerbe 2/10/04 Outline General issues Termination

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence.

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab2- Channel Models Objective To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence. Introduction

More information

Jitter in Digital Communication Systems, Part 1

Jitter in Digital Communication Systems, Part 1 Application Note: HFAN-4.0.3 Rev.; 04/08 Jitter in Digital Communication Systems, Part [Some parts of this application note first appeared in Electronic Engineering Times on August 27, 200, Issue 8.] AVAILABLE

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

High Speed Digital Design & Verification Seminar. Measurement fundamentals

High Speed Digital Design & Verification Seminar. Measurement fundamentals High Speed Digital Design & Verification Seminar Measurement fundamentals Agenda Sources of Jitter, how to measure and why Importance of Noise Select the right probes! Capture the eye diagram Why measure

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 6: RX Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 4 Prelab due now Exam

More information

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang Phil Lehwalder ECE526 Summer 2011 Dr. Chiang PLL (Phase Lock Loop) Dynamic system that produces a clock in response to the frequency and phase of an input clock by varying frequency of an internal oscillator.

More information

Circuit Design for a 2.2 GByte/s Memory Interface

Circuit Design for a 2.2 GByte/s Memory Interface Circuit Design for a 2.2 GByte/s Memory Interface Stefanos Sidiropoulos Work done at Rambus Inc with A. Abhyankar, C. Chen, K. Chang, TJ Chin, N. Hays, J. Kim, Y. Li, G. Tsang, A. Wong, D. Stark Increasing

More information

100 Gb/s: The High Speed Connectivity Race is On

100 Gb/s: The High Speed Connectivity Race is On 100 Gb/s: The High Speed Connectivity Race is On Cathy Liu SerDes Architect, LSI Corporation Harold Gomard SerDes Product Manager, LSI Corporation October 6, 2010 Agenda 100 Gb/s Ethernet evolution SoC

More information

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray Agenda Problems of On-chip Global Signaling Channel Design Considerations

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

High-Speed Interconnect Technology for Servers

High-Speed Interconnect Technology for Servers High-Speed Interconnect Technology for Servers Hiroyuki Adachi Jun Yamada Yasushi Mizutani We are developing high-speed interconnect technology for servers to meet customers needs for transmitting huge

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

OIF CEI 6G LR OVERVIEW

OIF CEI 6G LR OVERVIEW OIF CEI 6G LR OVERVIEW Graeme Boyd, Yuriy Greshishchev T10 SAS-2 WG meeting, Houston, 25-26 May 2005 www.pmc-sierra.com 1 Outline! Why CEI-6G LR is of Interest to SAS-2?! CEI-6G- LR Specification Methodology!

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height. REVISION DATE: January 11, 2005

DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height. REVISION DATE: January 11, 2005 Application Note DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height REVISION DATE: January 11, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed

More information

High Speed I/O 2-PAM Receiver Design. EE215E Project. Signaling and Synchronization. Submitted By

High Speed I/O 2-PAM Receiver Design. EE215E Project. Signaling and Synchronization. Submitted By High Speed I/O 2-PAM Receiver Design EE215E Project Signaling and Synchronization Submitted By Amrutha Iyer Kalpana Manickavasagam Pritika Dandriyal Joseph P Mathew Problem Statement To Design a high speed

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

Effect of Power Noise on Multi-Gigabit Serial Links

Effect of Power Noise on Multi-Gigabit Serial Links Effect of Power Noise on Multi-Gigabit Serial Links Ken Willis (kwillis@sigrity.com) Kumar Keshavan (ckumar@sigrity.com) Jack Lin (jackwclin@sigrity.com) Tariq Abou-Jeyab (tariqa@sigrity.com) Sigrity Inc.,

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 19: High-Speed Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 3 is on Friday Dec 5 Focus

More information

The Practical Limitations of S Parameter Measurements and the Impact on Time- Domain Simulations of High Speed Interconnects

The Practical Limitations of S Parameter Measurements and the Impact on Time- Domain Simulations of High Speed Interconnects The Practical Limitations of S Parameter Measurements and the Impact on Time- Domain Simulations of High Speed Interconnects Dennis Poulin Anritsu Company Slide 1 Outline PSU Signal Integrity Symposium

More information

Dual-Rate Fibre Channel Repeaters

Dual-Rate Fibre Channel Repeaters 9-292; Rev ; 7/04 Dual-Rate Fibre Channel Repeaters General Description The are dual-rate (.0625Gbps and 2.25Gbps) fibre channel repeaters. They are optimized for use in fibre channel arbitrated loop applications

More information

TITLE. Capturing (LP)DDR4 Interface PSIJ and RJ Performance. Image. Topic: Topic: John Ellis, Synopsys, Inc. Topic: malesuada blandit euismod.

TITLE. Capturing (LP)DDR4 Interface PSIJ and RJ Performance. Image. Topic: Topic: John Ellis, Synopsys, Inc. Topic: malesuada blandit euismod. TITLE Topic: o Nam elementum commodo mattis. Pellentesque Capturing (LP)DDR4 Interface PSIJ and RJ Performance malesuada blandit euismod. Topic: John Ellis, Synopsys, Inc. o o Nam elementum commodo mattis.

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

06-496r3 SAS-2 Electrical Specification Proposal. Kevin Witt SAS-2 Phy Working Group 1/16/07

06-496r3 SAS-2 Electrical Specification Proposal. Kevin Witt SAS-2 Phy Working Group 1/16/07 06-496r3 SAS-2 Electrical Specification Proposal Kevin Witt SAS-2 Phy Working Group 1/16/07 Overview Motivation Multiple SAS-2 Test Chips Have Been Built and Tested, SAS-2 Product Designs have Started

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

06-011r0 Towards a SAS-2 Physical Layer Specification. Kevin Witt 11/30/2005

06-011r0 Towards a SAS-2 Physical Layer Specification. Kevin Witt 11/30/2005 06-011r0 Towards a SAS-2 Physical Layer Specification Kevin Witt 11/30/2005 Physical Layer Working Group Goal Draft a Specification which will: 1. Meet the System Designers application requirements, 2.

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

Asian IBIS Summit, Tokyo, Japan

Asian IBIS Summit, Tokyo, Japan Asian IBIS Summit, Tokyo, Japan Satoshi Nakamizo / 中溝哲士 12 Nov. 2018 Keysight Technologies Japan K.K. T h e d a t a e y e i s c l o s i n g 1600 3200 6400 Memory channel BW limited Rj improving slowly

More information

Q2 QMS-DP/QFS-DP Series 11 mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: February 22, 2005

Q2 QMS-DP/QFS-DP Series 11 mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: February 22, 2005 Q2 QMS-DP/QFS-DP Series 11 mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications Revision Date: February 22, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in

More information

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab. High-Speed Circuits and Systems Laboratory B.M.Yu 1 Content 1. Introduction 2. Pre-emphasis 1. Amplitude pre-emphasis 2. Phase pre-emphasis 3. Circuit implantation 4. Result 5. Conclusion 2 Introduction

More information

EE290C Spring Lecture 5: Equalization Techniques. Elad Alon Dept. of EECS 9" FR4 26" FR4. 9" FR4, via stub.

EE290C Spring Lecture 5: Equalization Techniques. Elad Alon Dept. of EECS 9 FR4 26 FR4. 9 FR4, via stub. EE29C Spring 211 Lecture 5: Equalization Techniques Elad Alon Dept. of EECS Link Channels Attenuation [db] -1-2 -3-4 -5 9" FR4, via stub 9" FR4 26" FR4-6 26" FR4, via stub 2 4 6 8 1 frequency [GHz] EE29C

More information

EE290C Spring Lecture 2: High-Speed Link Overview and Environment. Elad Alon Dept. of EECS

EE290C Spring Lecture 2: High-Speed Link Overview and Environment. Elad Alon Dept. of EECS EE290C Spring 2011 Lecture 2: High-Speed Link Overview and Environment Elad Alon Dept. of EECS Most Basic Link Keep in mind that your goal is to receive the same bits that were sent EE290C Lecture 2 2

More information

Multi-gigabit signaling with CMOS

Multi-gigabit signaling with CMOS Multi-gigabit signaling with CMOS William J. Dally - Massachusetts Institute of Technology John Poulton - University of North Carolina @ Chapel Hill Steve Tell - University of North Carolina @ Chapel Hill

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

QPairs QTE-DP/QSE-DP Final Inch Designs in Serial ATA Generation 1 Applications 5mm Stack Height. REVISION DATE: January 12, 2005

QPairs QTE-DP/QSE-DP Final Inch Designs in Serial ATA Generation 1 Applications 5mm Stack Height. REVISION DATE: January 12, 2005 Application Note QPairs QTE-DP/QSE-DP Final Inch Designs in Serial ATA Generation 1 Applications 5mm Stack Height REVISION DATE: January 12, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed

More information

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug JEDEX 2003 Memory Futures (Track 2) High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence.

To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence. 1 ECEN 689 High-Speed Links Circuits and Systems Lab2- Channel Models Objective To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence. Introduction S-parameters

More information

if the conductance is set to zero, the equation can be written as following t 2 (4)

if the conductance is set to zero, the equation can be written as following t 2 (4) 1 ECEN 720 High-Speed Links: Circuits and Systems Lab1 - Transmission Lines Objective To learn about transmission lines and time-domain reflectometer (TDR). Introduction Wires are used to transmit clocks

More information

All About the Acronyms: RJ, DJ, DDJ, ISI, DCD, PJ, SJ, Ransom Stephens, Ph.D.

All About the Acronyms: RJ, DJ, DDJ, ISI, DCD, PJ, SJ, Ransom Stephens, Ph.D. All About the Acronyms: RJ, DJ, DDJ, ISI, DCD, PJ, SJ, Ransom Stephens, Ph.D. Abstract: Jitter analysis is yet another field of engineering that is pock-marked with acronyms. Each category and type of

More information

High-speed Integrated Circuits for Silicon Photonics

High-speed Integrated Circuits for Silicon Photonics High-speed Integrated Circuits for Silicon Photonics Institute of Semiconductor, CAS 2017.7 Outline Introduction High-Speed Signaling Fundamentals TX Design Techniques RX Design Techniques Design Examples

More information

!!!!!!! KANDOU S INTERFACES! FOR HIGH SPEED SERIAL LINKS! WHITE PAPER! VERSION 1.9! THURSDAY, MAY 17, 2013!!

!!!!!!! KANDOU S INTERFACES! FOR HIGH SPEED SERIAL LINKS! WHITE PAPER! VERSION 1.9! THURSDAY, MAY 17, 2013!! KANDOU S INTERFACES FOR HIGH SPEED SERIAL LINKS WHITE PAPER VERSION 1.9 THURSDAY, MAY 17, 2013 " Summary has developed an important new approach to serial link design that increases the bit rate for a

More information

A Serial Link Transceiver Based on 8 GSa/s A/D and D/A Converters

A Serial Link Transceiver Based on 8 GSa/s A/D and D/A Converters A Serial Link Transceiver Based on 8 GSa/s A/D and D/A Converters in 0.25µm m CMOS William Ellersick 1,3, Chih-Kong Ken Yang 2 Vladimir Stojanovic 1, Siamak Modjtahedi 2, Mark A. Horowitz 1 1 Stanford

More information

56+ Gb/s Serial Transmission using Duobinary Signaling

56+ Gb/s Serial Transmission using Duobinary Signaling 56+ Gb/s Serial Transmission using Duobinary Signaling Jan De Geest Senior Staff R&D Signal Integrity Engineer, FCI Timothy De Keulenaer Doctoral Researcher, Ghent University, INTEC-IMEC Introduction Motivation

More information

SV2C 28 Gbps, 8 Lane SerDes Tester

SV2C 28 Gbps, 8 Lane SerDes Tester SV2C 28 Gbps, 8 Lane SerDes Tester Data Sheet SV2C Personalized SerDes Tester Data Sheet Revision: 1.0 2015-03-19 Revision Revision History Date 1.0 Document release. March 19, 2015 The information in

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

High-Performance Electrical Signaling

High-Performance Electrical Signaling High-Performance Electrical Signaling William J. Dally 1, Ming-Ju Edward Lee 1, Fu-Tai An 1, John Poulton 2, and Steve Tell 2 Abstract This paper reviews the technology of high-performance electrical signaling

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2 13.2 An MLSE Receiver for Electronic-Dispersion Compensation of OC-192 Fiber Links Hyeon-min Bae 1, Jonathan Ashbrook 1, Jinki Park 1, Naresh Shanbhag 2, Andrew Singer 2, Sanjiv Chopra 1 1 Intersymbol

More information

Date: October 4, 2004 T10 Technical Committee From: Bill Ham Subject: SAS 1.1 PHY jitter MJSQ modifications

Date: October 4, 2004 T10 Technical Committee From: Bill Ham Subject: SAS 1.1 PHY jitter MJSQ modifications SAS 1.1 PHY jitter MJSQ modifications T10/04-332r0 Date: October 4, 2004 To: T10 Technical Committee From: Bill Ham (bill.ham@hp,com) Subject: SAS 1.1 PHY jitter MJSQ modifications The following proposed

More information

EQUALIZERS. HOW DO? BY: ANKIT JAIN

EQUALIZERS. HOW DO? BY: ANKIT JAIN EQUALIZERS. HOW DO? BY: ANKIT JAIN AGENDA DFE (Decision Feedback Equalizer) Basics FFE (Feed-Forward Equalizer) Basics CTLE (Continuous-Time Linear Equalizer) Basics More Complex Equalization UNDERSTANDING

More information

Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft

Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft Asian IBIS Summit 2017 Taipei, ROC November 15, 2017 9 Combinations of TX and RX Model Types AMI file has: GetWave_Exists

More information

A 24Gb/s Software Programmable Multi-Channel Transmitter

A 24Gb/s Software Programmable Multi-Channel Transmitter A 24Gb/s Software Programmable Multi-Channel Transmitter A. Amirkhany 1, A. Abbasfar 2, J. Savoj 2, M. Jeeradit 2, B. Garlepp 2, V. Stojanovic 2,3, M. Horowitz 1,2 1 Stanford University 2 Rambus Inc 3

More information

Comparison of Time Domain and Statistical IBIS-AMI Analyses

Comparison of Time Domain and Statistical IBIS-AMI Analyses Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft Asian IBIS Summit 2017 Shanghai, PRC November 13, 2017 9 Combinations of TX and RX Model Types AMI file has: GetWave_Exists

More information

A Serial Link Transceiver Based on 8 GSa/s A/D and D/A Converters

A Serial Link Transceiver Based on 8 GSa/s A/D and D/A Converters A Serial Link Transceiver Based on 8 GSa/s A/D and D/A Converters in 0.25µm m CMOS William Ellersick 1,3, Chih-Kong Ken Yang 2 Vladimir Stojanovic 1, Siamak Modjtahedi 2, Mark A. Horowitz 1 1 Stanford

More information

Studies on FIR Filter Pre-Emphasis for High-Speed Backplane Data Transmission

Studies on FIR Filter Pre-Emphasis for High-Speed Backplane Data Transmission Studies on FIR Filter Pre-Emphasis for High-Speed Backplane Data Transmission Miao Li Department of Electronics Carleton University Ottawa, ON. K1S5B6, Canada Tel: 613 525754 Email:mili@doe.carleton.ca

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

Channel operating margin for PAM4 CDAUI-8 chip-to-chip interfaces

Channel operating margin for PAM4 CDAUI-8 chip-to-chip interfaces Channel operating margin for PAM4 CDAUI-8 chip-to-chip interfaces Adam Healey Avago Technologies IEEE P802.3bs 400 GbE Task Force March 2015 Introduction Channel Operating Margin (COM) is a figure of merit

More information

10 Mb/s Single Twisted Pair Ethernet Implementation Thoughts Proof of Concept Steffen Graber Pepperl+Fuchs

10 Mb/s Single Twisted Pair Ethernet Implementation Thoughts Proof of Concept Steffen Graber Pepperl+Fuchs 10 Mb/s Single Twisted Pair Ethernet Implementation Thoughts Proof of Concept Steffen Graber Pepperl+Fuchs IEEE802.3 10 Mb/s Single Twisted Pair Ethernet Study Group 9/8/2016 1 Overview Signal Coding Analog

More information

Introduction to CMOS RF Integrated Circuits Design

Introduction to CMOS RF Integrated Circuits Design VI. Phase-Locked Loops VI-1 Outline Introduction Basic Feedback Loop Theory Circuit Implementation VI-2 What is a PLL? A PLL is a negative feedback system where an oscillatorgenerated signal is phase and

More information

Signal Technologies 1

Signal Technologies 1 Signal Technologies 1 Gunning Transceiver Logic (GTL) - evolution Evolved from BTL, the backplane transceiver logic, which in turn evolved from ECL (emitter-coupled logic) Setup of an open collector bus

More information

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 4.3 A Second-Order Semi-Digital Clock Recovery Circuit Based on Injection Locking M.-J. Edward Lee 1, William J. Dally 1,2,

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 20.5 A 2.4GHz CMOS Transceiver and Baseband Processor Chipset for 802.11b Wireless LAN Application George Chien, Weishi Feng, Yungping

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements EE290C - Spring 04 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture 11 Components Phase-Locked Loops Viterbi Decoder Borivoje Nikolic March 2, 04. Announcements Homework #2 due

More information

Bridging the Measurement and Simulation Gap Sarah Boen Marketing Manager Tektronix

Bridging the Measurement and Simulation Gap Sarah Boen Marketing Manager Tektronix Bridging the Measurement and Simulation Gap Sarah Boen Marketing Manager Tektronix 1 Agenda Synergy between simulation and lab based measurements IBIS-AMI overview Simulation and measurement correlation

More information

EE273 Lecture 6 Signal Return Crosstalk, Inter-Symbol Interference, Managing Noise. Today s Assignment

EE273 Lecture 6 Signal Return Crosstalk, Inter-Symbol Interference, Managing Noise. Today s Assignment EE273 Lecture 6 Signal Return Crosstalk, Inter-Symbol Interference, Managing Noise October 12, 1998 William J. Dally Computer Systems Laboratory Stanford University billd@csl.stanford.edu 1 Today s Assignment

More information

Fundamentals of Digital Communication

Fundamentals of Digital Communication Fundamentals of Digital Communication Network Infrastructures A.A. 2017/18 Digital communication system Analog Digital Input Signal Analog/ Digital Low Pass Filter Sampler Quantizer Source Encoder Channel

More information

Jitter analysis with the R&S RTO oscilloscope

Jitter analysis with the R&S RTO oscilloscope Jitter analysis with the R&S RTO oscilloscope Jitter can significantly impair digital systems and must therefore be analyzed and characterized in detail. The R&S RTO oscilloscope in combination with the

More information

Serial Data Transmission

Serial Data Transmission Serial Data Transmission Dr. José Ernesto Rayas Sánchez 1 Outline Baseband serial transmission Line Codes Bandwidth of serial data streams Block codes Serialization Intersymbol Interference (ISI) Jitter

More information

DDR4 memory interface: Solving PCB design challenges

DDR4 memory interface: Solving PCB design challenges DDR4 memory interface: Solving PCB design challenges Chang Fei Yee - July 23, 2014 Introduction DDR SDRAM technology has reached its 4th generation. The DDR4 SDRAM interface achieves a maximum data rate

More information

The data rates of today s highspeed

The data rates of today s highspeed HIGH PERFORMANCE Measure specific parameters of an IEEE 1394 interface with Time Domain Reflectometry. Michael J. Resso, Hewlett-Packard and Michael Lee, Zayante Evaluating Signal Integrity of IEEE 1394

More information

Receiver Architecture

Receiver Architecture Receiver Architecture Receiver basics Channel selection why not at RF? BPF first or LNA first? Direct digitization of RF signal Receiver architectures Sub-sampling receiver noise problem Heterodyne receiver

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

CHAPTER. delta-sigma modulators 1.0

CHAPTER. delta-sigma modulators 1.0 CHAPTER 1 CHAPTER Conventional delta-sigma modulators 1.0 This Chapter presents the traditional first- and second-order DSM. The main sources for non-ideal operation are described together with some commonly

More information

System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor

System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor Realizing 3.2 Gbps Data Rate per Memory Lane in Low Cost, High Volume Production Wai-Yeung

More information

SINGLE-ENDED 16x8 GBPS DATA BUS IN 90NM CMOS

SINGLE-ENDED 16x8 GBPS DATA BUS IN 90NM CMOS SINGLE-ENDED 16x8 GBPS DATA BUS IN 90NM CMOS By SAURABH MANDHANYA A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE IN ELECTRICAL ENGINEERING WASHINGTON

More information