TECHNICAL REPORT: CVEL AN OVERVIEW OF ADVANCED ELECTRONIC PACKAGING TECHNOLOGY. Hocheol Kwak and Dr. Todd Hubing

Size: px
Start display at page:

Download "TECHNICAL REPORT: CVEL AN OVERVIEW OF ADVANCED ELECTRONIC PACKAGING TECHNOLOGY. Hocheol Kwak and Dr. Todd Hubing"

Transcription

1 TECHNICAL REPORT: CVEL AN OVERVIEW OF ADVANCED ELECTRONIC PACKAGING TECHNOLOGY Hocheol Kwak and Dr. Todd Hubing May 1, 2007

2 EXECUTIVE SUMMARY This report reviews recent and future trends in electronic packaging of integrated circuits and systems. Various novel approaches such as system-in-package (SiP) and system-on-chip (SoC) technologies allow integrated devices to work together and communicate at very high speeds. The report concludes with a discussion of the critical issue of power bus decoupling in ultra high-speed designs. Clemson Vehicular Electronics Laboratory, CVEL

3 CONTENTS 1. Overview of Microelectronic Packaging Definition of Electronic Packaging Function of Electronic Packaging Packaging Hierarchy Driving Forces of Packaging Technology Electrical Packaging Design Consideration Electrical Packaging Design Process Trends in Electronic Packaging Technology Recent Trends in Advanced Electronic Packaging Trends in the Electronic Packages for Mobile Platforms Electronic Packaging Trends in High-Performance Platforms CoC (Chip-on-Chip) Technology Trends in Japanese Industry Trends on the IC Substrate Technology Future of Electronic Packaging Technology Future Design Issues of Electronic Packaging Structure Future Concept of the Advanced Electronic Package Critical Issues for Decoupling Capacitors Electrical Characteristics of Capacitors Low Inductance Path (ESL) Controlled-ESR Distributed Capacitor Models Evolution of Decoupling Capacitor Design Concepts Discrete Capacitor (MLCC) Embedded Thin Film Capacitor Future Strategies for Decoupling Capacitors References Clemson Vehicular Electronics Laboratory, CVEL

4 1. Overview of Microelectronic Packaging 1.1 Definition of Electronic Packaging An electronic package is the portion of an electronic structure that protects an electronic/electrical element and its environment from each other [1]. Packaging is the bridge that interconnects the ICs and other components into a system-level board to form electronic products. An integration of many circuits or components on a single chip is defined as an integrated circuit (IC). ICs are classified by their material and composition, degree of integration, number of transistor elements, principles of operation, manufacturing method and device type. Table 1.1 indicates how ICs are classified by integration level. Table 1.1 Advances in IC integration [2] Integration Level Numbers of Transistors Equivalent Gates SSI Typical Functions of Systems Single Circuit Function (e.g., Transistors) Typical Number I/Os 14 MSI Functional Network 24 LSI 400-4, Hand Calculator or Digital Watch 48 VLSI 4, ,000 1,000-80,000 Microprocessor ULSI Over 300,000 Over 80,000 Small computer on a chip 300+ GSI 1 Billion Over 100 Million Supercomputer 10,000+ An IC can be a single component such as a power amplifier or a power transistor or they can have many components such as a fully integrated microprocessor used in modern PCs and high performance servers and workstations. System-on-chip (SoC) is a concept where electrical optical, mechanical, chemical, and biological devices are integrated on a single chip. There are many types of ICs based on different applications as illustrated in Fig 1.1. Clemson Vehicular Electronics Laboratory, CVEL

5 Fig. 1.1 Types of ICs based on different applications. [2] Table 1.2 ITRS packaging requirements [2] Year Low Cost Cost (Cents/pin) Power (Watts) I/O count Performance (MHz) High Performance Cost (Cents/pin) Power (Watts) I/O count Performance (GHz) One section of the ITSR (International Technology Roadmap for Semiconductors) specifically defines the packaging requirements of ICs. The most demanding projections for some of the important parameters such as cost, power, I/O count, and operating frequency for low-cost and high performance product categories are shown in Table 1.2. The combined science of ICs and packaging is referred to as packaged devices or IC packaging [2]. Examples of packaged device technology include the microprocessors in a typical Clemson Vehicular Electronics Laboratory, CVEL

6 PC. The overlap of ICs and systems can be referred to as sub-products that perform a partial system function and typically don t involve extensive packaging. These sub or complete products depend heavily on the high integration of ICs without depending on packaging in order to meet a variety of product functions. In the progression of systems technology, this approach is predicted to evolve into system-on-chip (SoC). System-on-board (SoB) consists of a number of packaged ICs and other components assembled onto a system-level board. A new paradigm called system-on-package (SoP), or system-in-package (SiP) is analogous to SoC, in that it is a single component, multi-function, multi-chip package providing all the needed system-level functions. These functions include analog, digital, optical, RF and MEMS. Both SoC and SoP are expected to play an important role in the future of electronic systems [2]. Fig. 1.2 Integration of IC, Packaging and System [2] In general, IC packages can be classified into two categories, through-hole, and surface mount (as shown in Fig. 1.3) depending on the methodology used to attach the packages to the printed wiring board (PWB). Clemson Vehicular Electronics Laboratory, CVEL

7 Fig. 1.3 Types of IC packages (a) Through-Hole (b) Surface Mount [6] Dual-in-line packages (DIP) and pin grid arrays (PGA) are through-hole packages. The small outline (SO) package is the most widely used package in modern memory for low I/O applications because of its extremely low cost. The quad flat package (QFP) is an extension of the SO package with more I/O connections. Both the SO and QFP packages have leads attached to the printed wiring board (PWB). In the late 1980s, packages with solder balls were developed as an alternative to packages with leads. Surface mount packages have the advantage of a higher packaging density on the board compared to through-hole packages. LCC (Leadless Chip Carrier) and PLCC (Plastic Leaded Chip Carrier) are leadless packages. Ball grid array (BGA) packages are another example of this technology. Clemson Vehicular Electronics Laboratory, CVEL

8 1.2 Function of Electronic Packaging IC packaging has three important parameters [1]: The amount of I/O which determines the pitch of the IC package as well as the wiring needs at the system level, the size of the IC which affects the reliability of the IC to package connection and the power which affects the heat dissipation properties of IC and system level packaging. The functions of an IC package are to protect, power, and cool the microelectronic device and to provide an electrical and mechanical connection between the chip and the outside world. The package for an IC must provide a structure to physically support the chip, a physical housing to protect the chip from the environment, an adequate means of removing heat generated by the chip or system, electrical connections to allow signal and power access to and from the chip, and a wiring structure to provide an interconnection between the chips of an electronic system. These basic functions are illustrated in Fig Fig. 1.4 Basic electronic package functions. In addition to the four basic requirements listed above, an electronic package must be consistent with requirements for a high quality, reliable, serviceable, and economical product at its designed performance level. Clemson Vehicular Electronics Laboratory, CVEL

9 1.3 Packaging Hierarchy Typical electronic systems have several layers or levels of packaging, and each level of packaging has distinctive interconnection devices associated with it. The hierarchy of interconnection level can be divided is as follows: Level 0: Gate-to-gate interconnections on a monolithic silicon chip Level 1: Single-chip package, MCM, chips Packaging of silicon chips into dual-in-line packages (DIPs), small outline (SO) ICs, chip carriers, multichip packages and the chip level interconnects that join the chip to the lead frames. Instead of lead frames, tape-automated bonding (TAB) or chip onboard (COB) technologies can be utilized. Level 2: Printed wiring board (PWB) or Printed Circuit Board (PCB) Printed conductor paths connect the leads of components to PCBs and to the electrical edge connectors for off-the-board interconnection Level 3: Backplane (Equipment Drawer) connections between PCBs. PCB-to-PCB interconnections or card-to-motherboard interconnections Level 4: Equipment rack connections between two subassemblies. A rack or frame hold several shelves of subassemblies that must be connected together to make up a complete system. Level 5: Connections between physically separate systems such as host computer to terminals, computer to printer, and so on. Fig.1.5 Electronic Packaging Hierarchy [1] Clemson Vehicular Electronics Laboratory, CVEL

10 1.4 Driving Forces of Packaging Technology Historically, packaging has always been a substantial fraction of the price of an IC (10 to 50%). Cost and performance are the primary concerns in electronic packaging. In general, packaging costs are driven by the materials and fabrication requirements associated with the actual manufacturing and by the testing and rework associated with manufacturability. In the case of multi-chip packaging, manufacturing costs affected by the reliability of the IC chips, generally referred to as known good die. Performance is a function of electrical, thermal, and mechanical design constraints, material selection, and fabrication limitations Electrical Packaging Design Consideration Fig.1.6 Electrical Design Procedure of Electronic Package [3] Packages provide semiconductor ICs with signal and power distribution. The package helps to distribute signals between chips and to supply voltage and current to the circuits within a chip, as well as to other ICs in a system. As illustrated in Fig. 1.6, the electrical packaging designer has to consider the optimal signal and power distribution of the package structure. Clemson Vehicular Electronics Laboratory, CVEL

11 Fig.1.7 Electrical Design Issues (Power and Signal Distribution) [2] The electrical functions of a package are illustrated in Fig In this figure, the package provides the signal path from the driver circuit in one chip to the receiver circuits in other chips, the power supply and ground connection to the chips, and support connections between passive devices. The signal path in the package is composed of bonding structures that act as an interface between the chip and package, transmission lines on the substrate, and vias that provide vertical connections. Through the signal path, chips exchange data, address, clock, and control signals with each other. The package supplying the power to the chips needs to have sufficient charge storage capability to supply the necessary amount of current with negligible power supply voltage fluctuations. The parasitic inductance of the power distribution and the bonding structures in the package must be minimized to ensure that they do not degrade the power supply. The use of power plane structures in the package provides more capacitance and less inductance. The package may also contain lumped passive circuit elements such as resistors, inductors and capacitors. The capacitors in the package can be used to supply charge to the power supply of the chip and to isolate the inductive parasitics of the bonding structures. Lumped chip capacitors that are currently mounted on the surface or at the bottom of the package may be replaced with embedded capacitors buried inside the multilayer package. The embedded resistors may be used to terminate signal lines to avoid signal reflections from the ends that cause unwanted high-frequency noise and signal propagation delays. Clemson Vehicular Electronics Laboratory, CVEL

12 Fig. 1.8 Parasitics in the Electronic Package [4] The primary technical challenge for electrical design is driven by the frequency spectrum of the signals. At low frequencies, signal and power paths are easily realized since the physical geometry of the interconnection has little effect. At higher frequencies (1 GHz and up), the realization of appropriate interconnections is more difficult. At high frequencies, interconnects are physically longer than the packets of energy routed along them, and their behavior depends on the properties of the materials and the electromagnetic fields that comprise the signal. Effects such as propagation delay, the characteristic impedance associated with interconnect configurations, and parasitic reactances determine the behavior of the signal. Hence, the degree of distortion of the signal and the time required for the signal to reach its destination are functions of the interconnect parameters as illustrated in Fig Since the frequency of the signal generally dictates the rate at which power is required by the chips, similar concerns apply to the power and ground paths as well. Clemson Vehicular Electronics Laboratory, CVEL

13 1.4.2 Electrical Packaging Design Process Electrical package design is the process that defines the electrical signal and power paths through the package in a way that meets the overall system requirements. Ultimately, the end result of the design process is the geometrical layout of interconnects and the specification of materials and their geometries needed to meet the system requirements. The electrical design procedure of the package starts with the determination of the electrical specifications for the package. Basically, these specifications are based on the system and chip performance. Fig. 1.9 Electrical Packaging Design Process [2] The package designer must have access to the various technologies supported by a set of ground rules and material properties. From physical parameters such as minimum line width (w), spacing(s), thickness (t), dielectric thickness (d), dielectric constant (εr) and conductivity (σ); the electrical parameters for the interconnections such as resistance (R), inductance (L), capacitance (C) and conductance (G) are extracted using electromagnetic modeling tools. These parameters are used to build the interconnection models in a circuit simulator such as SPICE. Clemson Vehicular Electronics Laboratory, CVEL

14 2. Trends in Electronic Packaging Technology An emerging trend in electronic packaging technology is the convergent system or a system that is characterized by the integration of diverse product functions into one package or product [5]. Fig.2.1 Trend to convergent and miniaturized electronic and bio-electronic systems [5] Recent electronic packaging technology, referred to as multi-chip packaging (MCP), integrates an electronic system by interconnecting a number of ICs in a single packaging structure. MCP technology is done either by multi-chip modules (MCMs) in the planar fashion or by 3D stacked-chip packaging referred to as system-in-package (SiP) which incorporates ICs stacked vertically. Chip stacking can be accomplished by either stacking single-chip packages or by stacking a number of chips in a single package, or a combination of these approaches. More recently, wafer-level packaging (WLP), which involves creating the package while chips are still in wafer form and then separating them by dicing, is gaining popularity [5]. Waferlevel packaging of stacked wafers has the stacked chips interconnected by vias formed through the material used to physically separate the wafers. Thus, system packaging at the wafer level is possible and will permit the mixing of different technologies in a single package. There is also a relatively new concept which is called system-on-package (SoP) that addresses the shortcomings of both SoC and SiP in two ways. SoP uses CMOS-based transistor integration and RF, optical and digital integration by means of IC-package-system co-design. System-on-package (SoP) helps to overcome both the computing limitations and integration limitations of SoC, SiP, MCM and traditional system packaging. SoP includes both active and passive components including embedded digital, RF and optical components and functions in a microminiaturized package or board. Clemson Vehicular Electronics Laboratory, CVEL

15 Fig. 2.2 Electronic Package Evolution Trends Clemson Vehicular Electronics Laboratory, CVEL

16 2.1 Recent Trends in Advanced Electronic Packaging Traditional electronic packaging presents significant problems. The IC packaging which provides I/O connections from the chip to the rest of the system is typically bulky and costly, limiting both the performance and the reliability of the IC. In addition, system packaging that provides the interconnection of components on a circuit board is similarly bulky and costly and limits the electrical and mechanical performance. To address these concerns, current trends in electronic packaging technology fall into two categories; the high performance system packaging and mobile platform packaging. Fig. 2.3 Recent Electronic Package Trends The quest for small, better integrated packaging is primarily being driven by portable and wireless products such as cellular phones, which demand portability and lower cost. This type of packaging, called form-factor packaging has led to new directions in both IC and systems packaging. These include a variety of wafer level technologies such as chip scale packaging (CSP), thin film organic package technologies pioneered originally by IBM in Japan called surface-laminar circuit (SLC) or build-up technology, and flip-chip to organic assembly also pioneered by IBM. Another trend in packaging technology is being driven by high performance products such as servers with microprocessors. These products require a more effective power distribution system, as the platform of the processor requires lower voltage levels and more power consumption at the same time. Clemson Vehicular Electronics Laboratory, CVEL

17 Fig. 2.4 some of more than 30 companies currently pursuing SiP [5] System-in-package (SiP) technology (the vertical stacking of similar or dissimilar ICs) is one way to address this challenge. ICs can be stacked bare or stacked as packaged structures. SiP has clear benefits relative to SoC such as simpler design and design verification, IC processing with minimal mask steps, minimal time-to-market, and minimal IP issues. Because of these benefits, about 30 IC and packaging companies have been gearing up to produce SiP-based multichip modules. SiPs come in many flavors as fabricated in organics, ceramics or Si wafers. Fig. 2.5 Advanced Packaging Technology Roadmap by NEC [10] Trends in the Electronic Packages for Mobile Platforms Because mobile platforms have faster development cycles than most other products, reliable predictable package technologies that maximize cost and performance are essential. The traditional mobile platform is divided into RF and baseband parts. Although the integration of these parts into one has been attempted, traditional RF Front-end-Module areas are still required. Clemson Vehicular Electronics Laboratory, CVEL

18 Fig. 2.6 Shrinking Radio Technology [8] Chip Scale on Package (CSP) Technology Apple s ipod Nano Fig. 2.7 ipod Nano with main chips packaged in CSPs [9] The ipod Nano contains SDRAM, a processor, a memory controller, a switching regulator and a power management IC packaged in CSPs shown in Fig Fig. 2.8 Comparison with CSP & QFP structure [10] Clemson Vehicular Electronics Laboratory, CVEL

19 Chip scale package (CSP) is a general term for a cutting edge semiconductor package that is close to the same size as the bare chip itself. CSPs are generally BGA (Ball Grid Array) or LGA (Land Grid Array) packages, in which electrodes are directly installed on the package bottom, thus making it slightly larger than the chip size. This package can be used to make ultra-small and ultra-thin semiconductor devices, and thus has been mainly used for electronic products requiring a small implementation area on the board [10]. Wafer-Level Chip Scale on Package (WL-CSP) Technology Nokia 702 Wafer-level packaging (WLP) refers to the technology of packaging an integrated circuit at wafer level, instead of the traditional process assembling the package of each individual unit after wafer dicing. WLP is essentially a true chip-scale packaging (CSP) technology, since the package size is practically of the same as the die. Furthermore, wafer-level packaging can accomplish true integration of wafer fab, packaging, test, and burn-in at wafer level. Thus, the device manufacturing process can be streamlined ultimately using WLP technology. Fig. 2.9 Photos of two wafer-level packaged devices from Dallas/Maxim; Source: Clemson Vehicular Electronics Laboratory, CVEL

20 Fig WL-CSP Examples Nokia 702 Main Board [9] Wafer-level packaging (WLP) is basically an extension of wafer fab processes including device interconnection and protection processes. Although there is no single industry-standard method, according to an article in at least four major WLP technology classifications exist today. The four classifications according to Prismark and TechSearch International are: 1. Redistribution Layer and Bump technology, which is used by: Amkor (Ultra CSP ), Apack, Aptos, ASE (Ultra CSP ), ASAT Chipbond, Dallas Semi (2 lead), FCT (Ultra CSP ), Fraunhofer Institute, FuPo, Hitachi, Hyundai, National Semi (µsmd ), PacTech, Sandia Labs, Seiko Epson, SPIL (Ultra CSP ), Unitive (ExtremeCSP ); 2. Encapsulated Copper Post technology, which is used by: Casio, Fujitsu (SuperCSP ), IEP, Oki Electric, TI, Shinko (SuperCSP license), Toshiba; 3. Encapsulated Wire Bond technology, which is used by: Form Factor (Wow, MOST ), Shinko, Hyundai, Infineon (Wow licensees); and 4. Encapsulated Beam Lead technology, which is used by: ChipScale (Intarsia, M-Pulse Microwave), ShellCase (ShellBGA ), and Tessera (WAVE ). Clemson Vehicular Electronics Laboratory, CVEL

21 Redistributed Chip Packaging (RCP) Technology Freescale Redistributed chip packaging (RCP) is an interconnect buildup technology in which the package is a functional part of the die. This technology addresses the limitations associated with previous generations of packaging technologies by eliminating wire bonds, package substrates and flip chip bumps. In addition, RCP does not utilize blind vias or require thinned die to achieve thin profiles. These advancements simplify assembly, lower costs, and provide compatibility with advanced wafer manufacturing processes utilizing low-k interlayer dielectrics. Fig RCP and other package structures [11] Exceptional flexibility makes it a virtually universal package technology that is compatible with advanced assembly technologies such as System in Package (SiP), Package on Package (POP) and integrated cavity packages. RCP has the potential to increase the performance of products for the wireless, industrial, networking, and transportation markets. Freescale expects to ship products that utilize RCP by Fig Radio in Package, an Example of RCP by Freescale [11] Clemson Vehicular Electronics Laboratory, CVEL

22 System-In-Package (SiP) Technology System-in-package (SiP) technology configurations can be divided into four categories such as stacked die inside CSP, package-on-package (POP), package-in-package (PIP) or stacked module package and planar constructions. Stacked die CSP is the thinnest solution within the categories. Die are typically thinned and stacked inside the package that contains two or more die. Wire-bond is most common interconnection method, flip-chip is increasing. The substrate is typically a flex circuit or laminate. Fig Stacked Die CSP Structure by Intel [9] Package-on-package (POP) technology involves stacking separate packages for logic and memory. At least 10 major OEMs in the handset and digital still camera market are trying to implement a POP structure in their products. Fig Package-on-Package Structure by AMKOR [9] Clemson Vehicular Electronics Laboratory, CVEL

23 Fig PIP structure (before final overmold) [9] Package-in-package (PIP) technology involves two or more packages assembled together and overmolded, resulting in a single package that connects to the product s circuit board. Typically PIP is more expensive than stacked die package, but allows for flexibility in configuration of the memory and full testability before assembly. This technology has been implemented by IC package subcontractors such as STATSChipPAC and Amkor. Fig Intel s Folded Stacked Configurations [9] Fig Intel s SiP in Motorola s E680 Tri-band phone [9] Fig and Fig show the folded flex technology adopted in Motorola s E680 Tri-band phone. Folded flex technology uses two-metal-layer tape. Clemson Vehicular Electronics Laboratory, CVEL

24 RF System-In-Package (SiP) SyChip An RF system-in-package (SiP) includes an RFIC, PA, LNA, balun, filter, switch, and antenna. A more integrated approach could also include a base-band IC. Large passives (inductors, capacitors, resistors) may be integrated for cost and performance reasons SMD packages are currently most efficient in modules SMDs are the next step but they currently have higher component and assembly costs. The ultimate goal of SiP for RF modules is minimizing the sizes of all passives (RLC and functional blocks). Fig Package evolutions into smaller form-factor and more functionality in Wireless LAN [8] System in Package NEC SMAFTI TM SMAFTI (SMArt connection with Feed-Through Interposer) technology features a threedimensional chip connection with a 50-micron pitch that can support transmissions up to 100 gigabits per second (Gbps), ten times faster than conventional technologies. Designers who use SMAFTI technology in cellular phones and other portable equipment that have stringent size and power constraints can achieve resolutions comparable to those achieved in high-definition television. Fig SMAFTI (SMArt connection with Feed-Through Interposer) structure [10] This technology uses a 7-micron-thick polyimide dielectric layer and copper interconnects 15 microns wide to build up trace routing to an interposer layer, creating vertical interconnects with a 50-micron-pitch. A plastic compound encapsulates the package. A typical size is 15 mm on a side. SMAFTI utilizes an interposer that eliminates thermal mismatch between the memory and Clemson Vehicular Electronics Laboratory, CVEL

25 logic die. The die are probed at the wafer level and attached to the interposer. This approach creates wafer-level wiring using lithographic patterning and a semi-additive process. Die are attached to the wires and encapsulated, and the rest of the silicon wafer is removed. Then the second chip is attached to the interposer. Ball grid arrays are used to connect the finished package to the system board with a 500-micron spacing. The company expects to use the SMAFTI for internal products targeted at NEC's own 3G cell phone business group, as well as selling it to external customers. LGA (Land-Grid-Array) Freescale The LGA package is a standard flip-chip ball grid array (BGA) shipped with no spheres. Fig (a) shows the top and bottom sides of an LGA device. LGA has been available for hand-held devices in a small plastic package from Freescale and others for several years. Freescale is now introducing the LGA package using a high coefficient of thermal expansion (HCTE) ceramic in larger body sizes. The LGA solder interconnect is formed solely by solder paste applied at board assembly because there are no spheres attached to the LGA, resulting in a lower stand-off height of approximately 0.06 mm to 0.10 mm, depending on solder paste volume and printed circuit board (PCB) geometry. Fig (a) Top and Bottom View of a HCTE 360 Pad LGA Devices (b) Unmounted Device Profile (BGA on Left, LGA on Right) [12] Electronic Packaging Trends in High-Performance Platforms (a) PGA Package and Socket Clemson Vehicular Electronics Laboratory, CVEL

26 (b) LGA Package and Socket Fig Comparison between PGA and LGA package and socket [13] High-Volume Land Grid Array (LGA) Technology for Pentium IV Processor Traditionally, in desktop personal computer (PC) platforms, the state-of-the-art socket technology for organic packages has been a surface-mounted Micro-Pin-Grid-Array (µpga) socket. However, PGA technology imposes limitations on the electrical and thermal capability and form-factor requirements of next-generation platforms. Land-Grid-Array (LGA) socket technology was developed as a means to avoid those limitations. As shown in Fig (a), power is supplied from the voltage regulator through the pins from two sides on the package. Power delivery performance is limited by the power distribution (PD) architecture and motherboard real estate. Fig (a) Old PD Architecture for Single-Core Servers Fig (b) Novel PD Architecture for Multi-Core Servers [13] A novel PD Architecture for Multi-Core Servers is illustrated in Fig (b). The performance has improved by a four-sided power delivery scheme. Also, the dedicated power connector is more scalable. Voltage regulator (VR) components are moved to the VR board to free up motherboard real estate. A new TIM3 material was introduced to cool VR components. Clemson Vehicular Electronics Laboratory, CVEL

27 System in a Package (SiP) Solution for DC-DC Converters AMKOR Historically, DC-DC converters have been provided either as a discrete solution or prepackaged in a single inline package. A system-in-package with very few external passive components is an alternative. This solution includes a bare die controller/driver IC, bare die FETs and the majority of the required passive components mounted on a thermally enhanced substrate with LGA (land grid array) pads. Fig An Example of System-in-Package Solution for DC-DC Converters [14] System in package (SiP) is generally defined as one or more semiconductor chips along with passive components integrated onto a substrate, which together forms a functional sub-system. This integration offers the following advantages: SiP simplifies the OEM product design and assembly by modularizing certain functions, allows standardization of sub-systems across products, and improves time to market. It improves electrical performance through proximity of die and critical passives, increases functionality in a fixed board space, or reduces the space required for a specific function and reduces system level assembly costs The SiP is a chip array style package and is transfer molded. This limits the overall package height and means that the few higher profile capacitors and inductors must be external to the integrated package. Because SiP is transfer molded, it can be tested, packaged and assembled by the OEM, using industry standard, high volume equipment. Solder balls are used for the external I/O. They are generally required when the I/O density is high. However I/O count is normally low in a DC-DC converter, LGA is a more practical solution. More importantly, LGA has lower thermal resistance and provides a more reliable 2 nd -level solder joint. Clemson Vehicular Electronics Laboratory, CVEL

28 Fig Comparison of the characteristics between SiP and SoC [7] CoC (Chip-on-Chip) Technology Trends in Japanese Industry A new chip-on-chip (CoC) semiconductor packaging technology has been developed, offering high performance at low cost for a wide range of equipment including digital household appliances, mobile gear, servers and routers. Until now, mounting memory and logic in the same package has required a system-on-chip (SoC) approach using dynamic random access memory (DRAM) technology, or a system-in-package (SiP) solution connecting the chips to each other with wire bonding. Each approach has its own advantages and disadvantages, but it has been difficult to achieve both high-capacity memory and high-speed data transfer between memory and logic. CoC packaging fulfills both demands simultaneously with a manufacturing cost significantly lower than merged DRAM. Different CoC architectures are illustrated in Fig Clemson Vehicular Electronics Laboratory, CVEL

29 Fig Different Architectures for Different CoC Applications [7] Table 2.1 shows representative CoC packaging techniques outlining the specifications designed for commercial applications. Table 2.1 Representative CoC Technologies [7] Clemson Vehicular Electronics Laboratory, CVEL

30 System Fabrication Technologies mounts multiple logic ICs, memory chips, etc on a silicon interposer on the Si wafer, which has chip-to-chip interconnect wiring as illustrated in There are no restrictions on the footprint of the stacked chips, and the memory chip can be larger than the logic IC. The Si interposer is connected to the plastic interposer with wire bonding, etc Fig Mounting Multiple Chips with a Silicon Interposer [7] Trends on the IC Substrate Technology The electronic packaging industry has been crippled by the incremental technology advancement in substrates over the past decade. While semiconductors and related packaging technologies progress at a rapid rate, typically doubling in functionality every couple of years, the substrate portion of the IC packaging industry continues to fall further behind. This has created a technology gap, forcing the semiconductor manufacturers to compromise their chip designs by adding more redistribution layers or even increasing the size of the chip itself. Thus, the IC packaging industry is in need of a significant change at the substrate level to remove the barriers that exist today. Figure 2.27 illustrates substrate technology evolution trends. Clemson Vehicular Electronics Laboratory, CVEL

31 Fig Substrate Technology Evolution Trends (Source: JIEP, APC) Substrate Technology for RF SiP Modules can be divided into 4 categories: Laminate Module, LTCC, Liquid Crystal Polymer and Si/Glass/GaAs as illustrated in Fig Laminated modules are traditionally and widely used for passives. Assembly for the laminated module is relatively easy compared to other technologies. Low-temperature co-fired ceramic (LTCC) Modules are good for power circuits, as they have good thermal and electrical properties. However, their assembly cost is relatively high. Liquid crystal polymer (LCP) techniques are used to provide low loss LCP layers in printed circuit boards for RF performance. High-Q inductors can be readily embedded using liquid crystal polymer (LCP) techniques. Si, Glass, GaAs Modules are used to achieve the highest possible integration from base-band circuits to the antenna port. Clemson Vehicular Electronics Laboratory, CVEL

32 (a) Laminate Module (b) LTCC (c) Liquid Crystal Polymer (d) Si, Glass, GaAs Fig Substrate Technology for RF SiP Modules [8] SFC (Smart Functional Circuit) - SEMCO Samsung Electro-Mechanics developed a 0.32-mm thick 6-layer prototype substrate embedded with an LSI chip. The thickness of the embedded LSI chip was 0.08 mm. This LSIembedded substrate is a 10 x 10 mm interposer targeting mobile equipment applications. Fig mm Thick 6-Layer Substrate Embedded with LSI by SEMCO Clemson Vehicular Electronics Laboratory, CVEL

33 Samsung Electro-Mechanics also showed several other embedded substrates for interposers, such as a substrate incorporating 0603 multilayer ceramic condensers and chip-type resistors as well as one mounting thin-film type condensers with 16 pf/mm 2 capacitance and another one containing a wiring layer embedded with resistors. Fig SFC (Smart Functional Circuit) by SEMCO Embedded Component/Die Technology STI Electronics Fig Embedded component/die technology by STI Electronics STI Electronics was awarded a patent for its embedded component/die technology (IC/DT), which involves the use of integrated circuits embedded within a laminate substrate on a thermally conductive core providing a thermal sink. STI applied for the patent on May 23, Clemson Vehicular Electronics Laboratory, CVEL

34 Integrated Module Board (IMB) technology - Imbera Imbera Electronics has developed an Integrated Module Board (IMB) technology which integrates active components into electronic modules and high density printed circuit boards. The IMB process combines PCB manufacturing, component packaging, and assembly into a single manufacturing process. All interconnections between the IC and substrate are processed simultaneously. Fig Integrated Module Board (IMB) technology by Imbera Diverse IC and Passive Component Integration Technologies Six to seven years ago, Casio Computer & CMK has developed an IC embedded in board using wafer-level CSP technology. The IC was 400 μm thick and board was 600 μm. Three ICs were embedded. The substrate size was 16 mm square. As shown in Fig (a), Matsushita Electric Industrial developed components that are pressed into place while heating dielectric film, deforming it to embed the components. For this reaction, the glass fiber (used as reinforcement in standard boards) was removed from the dielectric layer. The board substrate size was 1.2 mm thick, and the component spacing was 150 μm. Tests are underway to evaluate embedded bare chips, WL-CSP, and 50 μm thick ICs. DENSO also developed a board with both embedded passives and ICs. The embedded components included 16 resistors and capacitors with a 1608 size. The bare chip was 9 mm square 0.65 mm, and board thickness was 29 layers of 75 μm plastic sheet. The board size was 25 mm square. (a) by Matsushita (b) by Denso Fig Diverse IC and Passive Component Integration Technologies Clemson Vehicular Electronics Laboratory, CVEL

35 2.2 Future of Electronic Packaging Technology Future Design Issues of Electronic Packaging Structure As the operation frequency of the on-chip silicon system is higher than data rates that can be sent on the interconnects, the package is already the bottleneck to the system performance. Furthermore, as the cost of bare silicon chips goes down, the portion of the manufacturing cost attributed to the packaging is becoming higher, resulting in a trend toward higher circuit densities and operating speeds on a chip. Interconnections are lossy transmission lines in higher frequency applications, so the quality and timing of the electric signal can be affected. New methods for signal distribution are necessary in the future. The parasitics associated with the interconnections for signal communication affect the product quality. Low-loss, low-relative-permittivity dielectric insulating materials will be necessary to reduce the delays associated with interconnects. Also, reflections will have to be suppressed through various termination schemes. Controlling crosstalk will continue to be an important consideration affecting the quality and timing of the signal. Supplying clean power to the chips will also be a major bottleneck in the near future. Power planes within the package and board will be necessary to provide a low impedance power source at high frequencies. The power distribution for the core and I/O will need to be separated to avoid noise coupling caused by the planes. This will require new and efficient ways of supplying power to the chips. Decoupling at frequencies greater than 1 GHz is likely to become a major problem requiring integrated decoupling solutions Future Concept of the Advanced Electronic Package System-on-chip (SoC) solutions, which try to integrate numerous system functions on one silicon platform (chip) horizontally, will place fundamental limits on the computing and integration density for the cost effective and high performance applications in the future. The disadvantages of SoC are the long design times due to integration complexities, high wafer fabrication costs, test costs, and mixed-signal processing complexities requiring dozens of mask steps and IP issues. Therefore, a new paradigm to overcome the shortcomings of both SoC and traditional package structures is necessary. Electronic packages for digital convergence of the system can be divided into four basic approaches: SoC (System-on-Chip), MCM (Multichip Module), SiP (System-in-Package), and SoP (System-on-Package) as shown in Fig Clemson Vehicular Electronics Laboratory, CVEL

36 Fig a) System-on-Chip (SoC) based on a complete system on one chip; b) Multi-Chip Module (MCM) based on interconnected components; c) System-in-Package (SiP) based on a stacked chip/package for reduced form factors; and d) System-on-Package (SoP), offers the best of IC and packaging technologies by optimizing functions between ICs and the package while miniaturizing systems [5]. The SoP concept overcomes a number of the engineering limits of SoC. As IC integration moves to nano-scale and wiring resistance increases, the global wiring delay in SoC becomes too high for computing applications. SoP can handle the latency due to the wiring delay by either moving global wiring from the nano-scale on ICs to the micro-scale on SoP or making the digital chips much smaller. SoP can handle the wireless integration limits of SoC also. RF components such as capacitors, filters, antennas, switches, and high frequency and high Q inductors, are best fabricated in the package rather than on silicon. To meet the amount of decoupling capacitance necessary to suppress the expected power plane noise due to very high performance ICs requiring more than 100 watts per chip, a major portion of the chip area will have to be dedicated to decoupling capacitance. Clemson Vehicular Electronics Laboratory, CVEL

37 Fig SoP concept of system integration of components [5] SoP concept seeks to integrate multiple system functions into one compact, light-weight, thin profile, low-cost, high performance packaged system requiring high performance digital logic, memory and graphics, and analog signals for RF and video as well as broadband optical functions. Unlike SoC, however, no performance compromises have to be made in order to integrate these disparate technologies since each technology is separately integrated into the SoP package. In addition, the SoP concept allows for shorter time-to-market and greater flexibility. The chip size within SoC concept can be as small as required to be manufacturable with high yields and its wiring length can be as small as needed to overcome the high resistance-imposed global signal delays. SoP concepts can achieve the ability to integrate digital, analog and mixedsignal devices in a single module with not only RF and optoelectronic devices, but also sensors and bioelectronic components. Clemson Vehicular Electronics Laboratory, CVEL

38 Fig Difference between SiP and SoP concepts [5] SiPs can easily combine digital chips with passive devices. However, they are often limited by the use of CMOS to play the role of a packaging or sub-system technology, rather than a system-level technology. SOPs go further than SiPs by incorporating multiple dissimilar components and materials, such as silicon, gallium arsenide (GaAs) and silicon germanium (SiGe), as well as passives. SOPs utilize systems-on-chips for IC integration, along with SiP, multi-chip module (MCM) and 3D chip stacking techniques for package integration, and MEMS, optoelectronic and RF components for system-level integration. SOPs use thin-film component integration to achieve multi-function packages. One of the most compelling features of SOPs is the fact that they are not SOCs. Like the ASICs they are based on, SOCs require very long development times, and are quite costly. For these reasons, SOCs are only feasible for very high-volume applications where economies of scale can maintain a low cost per unit. SoP technology, along with the SiPs and chip stacking techniques it incorporates, can more quickly combine the dissimilar materials and process technologies required for highly complex, highly integrated system-level designs. SOPs partition the system into the most cost-effective and appropriate functional blocks based on each component's requirements, and overcome the shortcomings of SOCs, in particular, latency and global delays, as well as RF integration. SOPs require new materials for the package and the printed circuit board (PCB) that combine the best electrical, mechanical and thermal characteristics. New substrate technology for the embedded actives and passives is required to realize SOPs as illustrated in Fig Clemson Vehicular Electronics Laboratory, CVEL

39 Fig Integrated Substrate Technology Concepts and Evolution To achieve the best possible SoP designs, co-design and co-fabrication practices are needed to optimize the functions in the ICs via SoCs and those best implemented in the package itself using SiP and/or 3D techniques. The entire system including the chips, package, and PCB must be designed through collaboration. Fig Basic & Core Technology for the Implementation of SoP [15] Clemson Vehicular Electronics Laboratory, CVEL

40 3. Critical Issues for Decoupling Capacitors A decoupling capacitor is used as a low impedance current source to minimize supply voltage fluctuations caused by rapid switching of the active devices. Typically, the decoupling used for silicon processors occurs in multiple stages ranging from large bulk capacitance devices physically located near regulated power supplies, to board-level capacitors to stabilize the voltage supply on the PCB, to package-level decoupling incorporated on the processor package, and die-level capacitance located on the die itself. This decoupling network accommodates current pulses moving through the system between the Si chip and the regulated voltage supply. The required response time of capacitors necessarily increases as the current moves towards the processor. The impedance versus frequency behavior can be used to characterize the decoupling capacitor response time. At relatively low frequencies, low impedance is achieved with high capacitance (i.e., low capacitive reactance). At relatively high frequencies, low impedance is obtained with low inductance; and of course, at series resonance, low impedance directly correlates to low series resistance. Therefore, to make a capacitor work at the highest possible frequencies, it should have the lowest possible inductance. Fig. 3.1 System Decoupling Loops for PCB-mounted decoupling capacitors [16] 3.1 Electrical Characteristics of Capacitors When considering the parasitics of bypass capacitors, a widely used simple model is a series C-R-L network, where C is the capacitance of the part, R is the Equivalent Series Resistance (ESR) and L is the Equivalent Series Inductance (ESL). The capacitance may be frequency dependent, primarily due to dielectric losses. The inductance is determined by the connection of the capacitor to the rest of the circuit as well as the geometry of the capacitor itself. Clemson Vehicular Electronics Laboratory, CVEL

41 Fig 3.2 Simple RLC equivalent circuit of a capacitor (Left) and vertical cross section of an MLCC mounted to PCB planes (Right) [17] Fig 3.3 Bypass Capacitor Impedance vs. Frequency, MHz In a multi-layer capacitor (MLCC), the inductance is higher at low frequencies where the resistance in the copper plates forces the current to flow uniformly through all plates. At high frequencies the current flows in the lowest inductance path and is concentrated on the lower plates Low Inductance Path (ESL) The parasitic inductance of MLCCs is becoming more and more important in the decoupling of high speed digital systems. The relationship between the inductance and the ripple voltage induced on the DC voltage line can be seen from the simple inductance equation, V=L di/dt. The parasitic inductance is a result of the interaction of magnetic flux fields created by the electric current flow in and out of the device on a circuit board. Clemson Vehicular Electronics Laboratory, CVEL

42 Fig. 3.4 ESL in a mounted MLCC directly relates to the area of an idealized current loop (LH LW) formed in the board and capacitor [19] The current path or loop includes not only the multilayer capacitor s internal electrodes and external termination, but also the power planes, vias, mounting pads and solder fillets of the substrate/packages. At very high frequencies, the current path is not defined by the entire capacitor multilayer stack, most of the current is confined to the lowermost internal electrodes as the path of least impedance for the current flow. Fig. 3.5 Mounted Bypass Capacitor Induction Loop [20] This induction loop above the plane cavity is a function of no fewer than nine independent variables which are completely beyond the control or even knowledge of the component maker: Capacitor size Capacitor terminal configuration Capacitor bottom cover layer thickness Via extension height above the uppermost plane Via count Via diameter Via separation X-Y plane offset of vias from device pad Etch configuration of the surface layer Clemson Vehicular Electronics Laboratory, CVEL

43 Fig. 3.6 Bypass Network Induction Loop Components [21] Controlled-ESR Low-Q bypass capacitors with controlled ESR can be used to create a resonance-free power distribution network (PDN) with low sensitivity to component tolerances, and achieving a predictable impedance profile with the minimum number of components [22]. Low-Q bypass capacitors can be created either by reducing the inductance of the part, and/or by raising the equivalent series resistance (ESR). In multi-layer capacitors, ESR can be raised by using resistive plates, and/or resistive terminations, or by adding resistance externally with low inductance. Low-resistance capacitor plates can be patterned outside the high-frequency current loop as shown in Fig In thin-film capacitors, ESR can also be raised by reducing the thickness of capacitor plates. Fig. 3.7 Adjusting MLCC ESR by changing the connection geometry of capacitor plates [22] Clemson Vehicular Electronics Laboratory, CVEL

44 Fig. 3.8 Three construction options to add resistive material to MLCC [22] As shown in Fig. 3.8, series resistance can be added by incorporating highly resistive material into the capacitor construction: resistive capacitor plates, resistive terminations, or lowinductance external embedded resistors Distributed Capacitor Models A transmission line circuit model has been proposed that mimics the construction of MLC capacitors and mounting structures as discussed in the previous section. The topology of the model is shown in Fig [18]. Fig. 3.9 Transmission line circuit model for MLC capacitor [18] The capacitance of the working plates is represented by capacitors that are effectively in parallel at low frequency (Cp). The ESR is mostly in the conductive plates and is represented by the resistors (Rp) which are also effectively in parallel at low frequency. Because of the many thin ceramic dielectrics and relatively wide dimensions of the conductive plates, the inductance associated with the horizontal plates is very small. Clemson Vehicular Electronics Laboratory, CVEL

45 The dominant inductance of the capacitor is associated with the vertical dimensions and mounts. Lbottom is the inductance assigned to the portion of the loop near the filler plate. The loop area is further increased as current proceeds up the terminals past the working plates of the capacitor. These vertical inductances are in series and are designated Ls. The comparable series resistance is designated Rs. The frequency dependent nature of the capacitor can be envisioned by considering the relationship between the vertical and horizontal components of this model. At low frequencies, the relatively high reactance of the capacitance 1/ (jωc) dominates over the reactance of the series inductance jωl. At high frequencies (above series resonance) the impedance roles of the inductance and capacitance reverse. The relatively high reactance of the Ls inductors dominates and impedes current from reaching the capacitance that is higher up the ladder. The effective inductance of the capacitor is diminished. At high frequencies there are effectively fewer Rp resistors in parallel so the ESR of the capacitor increases. This is a qualitative explanation for frequency dependent nature of capacitors on low inductance mounts. This phenomenon can only be observed when the fixture plus filler plate inductance (Lmount + Lbottom) is less or much less than the intrinsic inductance of the capacitor which is associated with the working plates (sum of Ls). At series resonance, the vertical inductors and horizontal capacitors behave like a ¼ wavelength lossy transmission line with the high impedance open circuit at the end appearing to be low impedance at the PCB pads. This property enables the calculation of the circuit parameters shown in Fig Evolution of Decoupling Capacitor Design Concepts Discrete Capacitor (MLCC) Over the past years, decoupling capacitors have evolved into diverse types. This evolution has been driven by the requirement to lower the inductance of power delivery systems to keep up with switching speeds and transistor density in silicon processors. The board pads and vias form closely spaced cancellation paths and parallel loops in the boards that are support the low ESL design strategies used for the development of decoupling capacitors. When component companies characterize their devices, great care is taken to extract the influence of the test coupon to give a part-only ESL. However, inductance is a property of current loops and, in fact; a low-inductance capacitor does not have a well-defined ESL independent of the board it is mounted to. Clemson Vehicular Electronics Laboratory, CVEL

46 Fig Decoupling Capacitors Examples Nokia 702 Camera Module [9] Fig taken from the literature of one capacitor manufacturer shows a reduction of about two orders of magnitude by applying a strategy to maximize current cancellation in the terminal structures and segment terminations into small parallel current loops. Fig Decoupling Capacitor ESL evolution [19] Clemson Vehicular Electronics Laboratory, CVEL

47 Fig shows another example of a capacitor structure that uses flux cancellation to reduce inductance. This structure employs two separate capacitors in the same package. Fig The structure of the X2Y capacitor [23] Fig shows a cross section of a bumped chip capacitor package. The use of this technology allows the designer to minimize loop inductance further by utilizing via-in-pad technology. Fig Bumped-Chip Electrode/Termination Structure [24] Conventional decoupling devices utilize multiple capacitors, each with a dedicated function, to fulfill the needs of high-speed load response and noise suppression. As processor speeds increase, more and more capacitors are required. As a result, circuit design becomes increasingly complex. The Proadlizer by NEC-TOKIN, is designed to work at frequencies up to a few GHz, and has a relatively flat impedance characteristic. Clemson Vehicular Electronics Laboratory, CVEL

48 Fig (a) Routing Area between the conventional caps and Proadlizer, (b) Structure [25] Embedded Thin Film Capacitor Embedded decoupling is normally considered a better solution than surface mount decoupling due to its shorter leads that result in a smaller parasitic inductance. Like a typical SMD capacitor, embedded capacitors have an equivalent series C-R-L. The inductance of an embedded capacitor depends not only on the electrode geometry and size, but also on the connection geometry. High dielectric constant (εr) materials reduce the impedance of embedded capacitors at low frequencies while shifting the first resonant frequency to a lower frequency. Embedded thin film capacitors can be integrated within the chip, packaging module or printed wiring board (PWB), offering a promising solution to achieve high packaging density, high performance and reliability with a low system cost. Fig A Depiction of Embedded Passives Construction [27] As illustrated in Fig. 3.15, SMD resistors and capacitors can be replaced with embedded components etched on layers dedicated to a particular component type. The resistive layer covers the entire surface, and is etched away to provide the resistors desired. Similarly, C1 and C2 capacitors become electrode patterns on a dielectric layer that is buried in the substrate. Clemson Vehicular Electronics Laboratory, CVEL

49 Discrete SMT Decoupling Embedded Planar Decoupling Fig A Depiction of the Embedded Passives (Distributed Planar) Construction [27] The embedded capacitors can be individual singulated components or be distributed as an entire plane of capacitance between the power and ground planes of a power distribution system. The distributed capacitance planes can be accessed by low inductance via connections resulting in an extremely low equivalent series inductance. The singulated embedded capacitors have the advantage of being individually tailored to their design needs, although this comes at the expense of added manufacturing complexity. Fig Comparison between SMT and Embedded Components [28] A number of PCB manufacturer have begun work on technologies to embedded components, although there is wide variation in the specifics of release date, board thickness, and types and characteristics of embedded components. Clemson Vehicular Electronics Laboratory, CVEL

50 Fig Power, Frequency and Capacitance Density Needs Future Strategies for Decoupling Capacitors As the need for higher speed signals and more power consumption within convergent system architectures increases, new concepts of decoupling structures will be required. Capacitance density requirements are directly related to power and frequency requirements as indicated in Fig Fig Power/Ground Impedance Curve seen at On-Chip [26] Clemson Vehicular Electronics Laboratory, CVEL

51 Fig Moving Decoupling Capacitors Next to Bare Chip [29] As illustrated in Fig. 3.20, reduction in power supply noise requires moving the decoupling capacitors next to the bare chip. As packaging structures continue to evolve, opportunities to develop new, optimal, low-inductance decoupling capacitors will present themselves. 4. References [1] Richard K. Ulrich, Advanced Electronic Packaging 2nd Edition, John Wiley & Sons, 2006 [2] Rao R. Tummala, Fundamentals of Microsystems Packaging, McGraw-Hill, 2001 [3] E.E. Davidson, Electrical Design of a High Speed Computer Packaging System, IEEE Transactions on Computers, Hybrids and Manufacturing Technology, vol. CHMT-6, no. 3, September, [4] Dean L. Monthei, et al., Package Electrical Modeling, Thermal Modeling, and Processing for GaAs Wireless Applications, Kluwer Academic Publishers, [5] Rao R. Tummala, Packaging: Past, Present and Future, Proc. of the IEEE 6th International Conference on Electronic Packaging Technology, 2005 [6] IC Packages Data Handbook, Chapter 1: Overview of IC Packages, NXP, [7] Chip-on-Chip Offers Higher Memory Capacity, Speed, Nikkei Electronics Asia, February Clemson Vehicular Electronics Laboratory, CVEL

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

!"#$"%&' ()#*+,-+.&/0(

!#$%&' ()#*+,-+.&/0( !"#$"%&' ()#*+,-+.&/0( Multi Chip Modules (MCM) or Multi chip packaging Industry s first MCM from IBM. Generally MCMs are horizontal or two-dimensional modules. Defined as a single unit containing two

More information

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative POSSUM TM Die Design as a Low Cost 3D Packaging Alternative The trend toward 3D system integration in a small form factor has accelerated even more with the introduction of smartphones and tablets. Integration

More information

Flip-Chip for MM-Wave and Broadband Packaging

Flip-Chip for MM-Wave and Broadband Packaging 1 Flip-Chip for MM-Wave and Broadband Packaging Wolfgang Heinrich Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH) Berlin / Germany with contributions by F. J. Schmückle Motivation Growing markets

More information

Research in Support of the Die / Package Interface

Research in Support of the Die / Package Interface Research in Support of the Die / Package Interface Introduction As the microelectronics industry continues to scale down CMOS in accordance with Moore s Law and the ITRS roadmap, the minimum feature size

More information

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 Packaging Roadmap: The impact of miniaturization Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 The Challenges for the Next Decade Addressing the consumer experience using the converged

More information

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Progress In Electromagnetics Research Letters, Vol. 74, 117 123, 2018 A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Jun Zhou 1, 2, *, Jiapeng Yang 1, Donglei Zhao 1, and Dongsheng

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

Chapter 2. Literature Review

Chapter 2. Literature Review Chapter 2 Literature Review 2.1 Development of Electronic Packaging Electronic Packaging is to assemble an integrated circuit device with specific function and to connect with other electronic devices.

More information

Processes for Flexible Electronic Systems

Processes for Flexible Electronic Systems Processes for Flexible Electronic Systems Michael Feil Fraunhofer Institut feil@izm-m.fraunhofer.de Outline Introduction Single sheet versus reel-to-reel (R2R) Substrate materials R2R printing processes

More information

Organic Packaging Substrate Workshop Overview

Organic Packaging Substrate Workshop Overview Organic Packaging Substrate Workshop Overview Organized by: International Electronics Manufacturing Initiative (inemi) Mario A. Bolanos November 17-18, 2009 1 Organic Packaging Substrate Workshop Work

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation West Coast Luncheon January 15, 2014. PROMEX PROMEX INDUSTRIES INC. MICROELECTRONICS ASSSEMBLY TECHNOLOGIES The QFN Platform as a Chip Packaging Foundation 3075 Oakmead Village Drive Santa Clara CA Ɩ 95051

More information

Benzocyclobutene Polymer dielectric from Dow Chemical used for wafer-level redistribution.

Benzocyclobutene Polymer dielectric from Dow Chemical used for wafer-level redistribution. Glossary of Advanced Packaging: ACA Bare Die BCB BGA BLT BT C4 CBGA CCC CCGA CDIP or CerDIP CLCC COB COF CPGA Anisotropic Conductive Adhesive Adhesive with conducting filler particles where the electrical

More information

Laminate Based Fan-Out Embedded Die Technologies: The Other Option

Laminate Based Fan-Out Embedded Die Technologies: The Other Option Laminate Based Fan-Out Embedded Die Technologies: The Other Option Theodore (Ted) G. Tessier, Tanja Karila*, Tuomas Waris*, Mark Dhaenens and David Clark FlipChip International, LLC 3701 E University Drive

More information

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed)

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed) Title Author(s) Editor(s) A passive circuit based RF optimization methodology for wireless sensor network nodes Zheng, Liqiang; Mathewson, Alan; O'Flynn, Brendan; Hayes, Michael; Ó Mathúna, S. Cian Wu,

More information

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538 Innovations Push Package-on-Package Into New Markets by Flynn Carson STATS ChipPAC Inc. 47400 Kato Rd Fremont, CA 94538 Copyright 2010. Reprinted from Semiconductor International, April 2010. By choosing

More information

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Scott Goodwin 1, Erik Vick 2 and Dorota Temple 2 1 Micross Advanced Interconnect Technology Micross

More information

Webinar: Suppressing BGAs and/or multiple DC rails Keith Armstrong. 1of 5

Webinar: Suppressing BGAs and/or multiple DC rails Keith Armstrong. 1of 5 1of 5 Suppressing ICs with BGA packages and multiple DC rails Some Intel Core i5 BGA packages CEng, EurIng, FIET, Senior MIEEE, ACGI Presenter Contact Info email: keith.armstrong@cherryclough.com website:

More information

B. Flip-Chip Technology

B. Flip-Chip Technology B. Flip-Chip Technology B1. Level 1. Introduction to Flip-Chip techniques B1.1 Why flip-chip? In the development of packaging of electronics the aim is to lower cost, increase the packaging density, improve

More information

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING M Bartek 1, S M Sinaga 1, G Zilber 2, D Teomin 2, A Polyakov 1, J N Burghartz 1 1 Delft University of Technology, Lab of

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Diverse Lasers Support Key Microelectronic Packaging Tasks

Diverse Lasers Support Key Microelectronic Packaging Tasks Diverse Lasers Support Key Microelectronic Packaging Tasks Written by D Muller, R Patzel, G Oulundsen, H Halou, E Rea 23 July 2018 To support more sophisticated and compact tablets, phones, watches and

More information

An Introduction to Electronics Systems Packaging. Prof. G. V. Mahesh. Department of Electronic Systems Engineering

An Introduction to Electronics Systems Packaging. Prof. G. V. Mahesh. Department of Electronic Systems Engineering An Introduction to Electronics Systems Packaging Prof. G. V. Mahesh Department of Electronic Systems Engineering India Institute of Science, Bangalore Module No. # 02 Lecture No. # 08 Wafer Packaging Packaging

More information

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Corporate Vice President, WW RnD & Technology Strategy 1 In the Beginning ewlb 2 Fan Out Packaging Emerges Introduction of Fan Out (ewlb) Marketed

More information

Fraunhofer IZM - ASSID

Fraunhofer IZM - ASSID FRAUNHOFER-INSTITUT FÜR Zuverlässigkeit und Mikrointegration IZM Fraunhofer IZM - ASSID All Silicon System Integration Dresden Heterogeneous 3D Wafer Level System Integration 3D system integration is one

More information

Gain Slope issues in Microwave modules?

Gain Slope issues in Microwave modules? Gain Slope issues in Microwave modules? Physical constraints for broadband operation If you are a microwave hardware engineer you most likely have had a few sobering experiences when you test your new

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

The Infinity Probe for On-Wafer Device Characterization and Modeling to 110 GHz

The Infinity Probe for On-Wafer Device Characterization and Modeling to 110 GHz Q & A Innovating Test Technologies The Infinity Probe for On-Wafer Device Characterization and Modeling to 110 GHz Why is this announcement important? INFINITY-QA-1102 Data subject to change without notice

More information

Tape Automated Bonding

Tape Automated Bonding Tape Automated Bonding Introduction TAB evolved from the minimod project begun at General Electric in 1965, and the term Tape Automated Bonding was coined by Gerard Dehaine of Honeywell Bull in 1971. The

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

Sectional Design Standard for High Density Interconnect (HDI) Printed Boards

Sectional Design Standard for High Density Interconnect (HDI) Printed Boards IPC-2226 ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Sectional Design Standard for High Density Interconnect (HDI) Printed Boards Developed by the HDI Design Subcommittee (D-41) of the HDI Committee

More information

SiP packaging technology of intelligent sensor module. Tony li

SiP packaging technology of intelligent sensor module. Tony li SiP packaging technology of intelligent sensor module Tony li 2016.9 Contents What we can do with sensors Sensor market trend Challenges of sensor packaging SiP technology to overcome challenges Overview

More information

A Solution to Simplify 60A Multiphase Designs By John Lambert & Chris Bull, International Rectifier, USA

A Solution to Simplify 60A Multiphase Designs By John Lambert & Chris Bull, International Rectifier, USA A Solution to Simplify 60A Multiphase Designs By John Lambert & Chris Bull, International Rectifier, USA As presented at PCIM 2001 Today s servers and high-end desktop computer CPUs require peak currents

More information

Analysis signal transitions characteristics of BGA-via multi-chip module Baolin Zhou1,a, Dejian Zhou1,b

Analysis signal transitions characteristics of BGA-via multi-chip module Baolin Zhou1,a, Dejian Zhou1,b 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Analysis signal transitions characteristics of BGA-via multi-chip module Baolin Zhou1,a, Dejian Zhou1,b 1 Electromechanical

More information

Land Grid Array (LGA) Low Inductance Capacitor Advantages in Military and Aerospace Applications

Land Grid Array (LGA) Low Inductance Capacitor Advantages in Military and Aerospace Applications Land Grid Array (LGA) Low Inductance Capacitor Advantages in Military and Aerospace Applications A B S T R A C T : The benefits of Land Grid Array (LGA) capacitors and superior low inductance performance

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD

Recent Developments in Multifunctional Integration. Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Recent Developments in Multifunctional Integration Stephan Guttowski, Head of Technology Park»Heterointegration«, Fraunhofer FMD Founding Participants 2 One-Stop-Shop for developments from wafer technologies

More information

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President Corporate Background Founded in 1987 and headquartered in Austin, Texas Recognized around the world as a leading consulting

More information

MMIC/RFIC Packaging Challenges Webcast (July 28, AM PST 12PM EST)

MMIC/RFIC Packaging Challenges Webcast (July 28, AM PST 12PM EST) MMIC/RFIC Packaging Challenges Webcast ( 9AM PST 12PM EST) Board Package Chip HEESOO LEE Agilent EEsof 3DEM Technical Lead 1 Agenda 1. MMIC/RFIC packaging challenges 2. Design techniques and solutions

More information

The Advantages of Integrated MEMS to Enable the Internet of Moving Things

The Advantages of Integrated MEMS to Enable the Internet of Moving Things The Advantages of Integrated MEMS to Enable the Internet of Moving Things January 2018 The availability of contextual information regarding motion is transforming several consumer device applications.

More information

The 3D silicon leader. March 2012

The 3D silicon leader. March 2012 The 3D silicon leader March 2012 IPDiA overview Company located in Caen, Normandy, France Dedicated to manufacturing of integrated passive devices Employing 100 people and operating own wafer fab Strong

More information

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Peter De Dobbelaere Luxtera Inc. 09/19/2016 Luxtera Proprietary www.luxtera.com Luxtera Company Introduction $100B+ Shift

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

MMIC: Introduction. Evangéline BENEVENT. Università Mediterranea di Reggio Calabria DIMET

MMIC: Introduction. Evangéline BENEVENT. Università Mediterranea di Reggio Calabria DIMET Evangéline BENEVENT Università Mediterranea di Reggio Calabria DIMET 1 Evolution of electronic circuits: high frequency and complexity Moore s law More than Moore System-In-Package System-On-Package Applications

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

The 3D Silicon Leader

The 3D Silicon Leader The 3D Silicon Leader 3D Silicon IPD for smaller and more reliable Implantable Medical Devices ATW on Advanced Packaging for Wireless Medical Devices Mohamed Mehdi Jatlaoui, Sébastien Leruez, Olivier Gaborieau,

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

System-In-Package (SIP): Challenges and Opportunities

System-In-Package (SIP): Challenges and Opportunities System-In-Package (SIP): Challenges and Opportunities King L. Tai Bell Laboratories Lucent Technologies Murray Hill, NJ. 07974 Tel: 908-582-3239 Fax: 908-582-4214 E-mail: kltai@lucent.com Abstract - In

More information

Cost-minimized Double Die DRAM Packaging for Ultra-High Performance DDR3 and DDR4 Multi-Rank Server DIMMs

Cost-minimized Double Die DRAM Packaging for Ultra-High Performance DDR3 and DDR4 Multi-Rank Server DIMMs Cost-minimized Double Die DRAM Packaging for Ultra-High Performance DDR3 and DDR4 Multi-Rank Server DIMMs Richard Crisp 1, Bill Gervasi 2, Wael Zohni 1, Bel Haba 3 1 Invensas Corp, 2902 Orchard Parkway,

More information

Semiconductor Process Diagnosis and Prognosis for DSfM

Semiconductor Process Diagnosis and Prognosis for DSfM Semiconductor Process Diagnosis and Prognosis for DSfM Department of Electronic Engineering Prof. Sang Jeen Hong Nov. 19, 2014 1/2 Agenda 1. Semiconductor Manufacturing Industry 2. Roles of Semiconductor

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products

Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products Trifon Liakopoulos, Amrit Panda, Matt Wilkowski and Ashraf Lotfi PowerSoC 2012 CONTENTS Definitions

More information

Application Bulletin 240

Application Bulletin 240 Application Bulletin 240 Design Consideration CUSTOM CAPABILITIES Standard PC board fabrication flexibility allows for various component orientations, mounting features, and interconnect schemes. The starting

More information

Innovations in EDA Webcast Series

Innovations in EDA Webcast Series Welcome Innovations in EDA Webcast Series August 2, 2012 Jack Sifri MMIC Design Flow Specialist IC, Laminate, Package Multi-Technology PA Module Design Methodology Realizing the Multi-Technology Vision

More information

Electronic materials and components-semiconductor packages

Electronic materials and components-semiconductor packages Electronic materials and components-semiconductor packages Semiconductor back-end processes We will learn much more about semiconductor back end processes in subsequent modules, but you need to understand

More information

Full Wave Solution for Intel CPU With a Heat Sink for EMC Investigations

Full Wave Solution for Intel CPU With a Heat Sink for EMC Investigations Full Wave Solution for Intel CPU With a Heat Sink for EMC Investigations Author Lu, Junwei, Zhu, Boyuan, Thiel, David Published 2010 Journal Title I E E E Transactions on Magnetics DOI https://doi.org/10.1109/tmag.2010.2044483

More information

Evaluation of Package Properties for RF BJTs

Evaluation of Package Properties for RF BJTs Application Note Evaluation of Package Properties for RF BJTs Overview EDA simulation software streamlines the development of digital and analog circuits from definition of concept and estimation of required

More information

AN4819 Application note

AN4819 Application note Application note PCB design guidelines for the BlueNRG-1 device Introduction The BlueNRG1 is a very low power Bluetooth low energy (BLE) single-mode system-on-chip compliant with Bluetooth specification

More information

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction Manufacture and Performance of a Z-interconnect HDI Circuit Card Michael Rowlands, Rabindra Das, John Lauffer, Voya Markovich EI (Endicott Interconnect Technologies) 1093 Clark Street, Endicott, NY 13760

More information

Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc.

Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc. February 2014 Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc. Low Noise Amplifiers (LNAs) amplify weak signals received by the antenna in communication systems.

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

Novel Packaging Approaches for Miniature Antennas

Novel Packaging Approaches for Miniature Antennas Novel Packaging Approaches for Miniature Antennas Will McKinzie, Greg Mendolia, and John Dutton Etenna Corporation 6100-C Frost Place, Laurel, MD 20707 wmckinzie@etenna.com, gmendolia@etenna.com, and jdutton@etenna.com

More information

MEMS in ECE at CMU. Gary K. Fedder

MEMS in ECE at CMU. Gary K. Fedder MEMS in ECE at CMU Gary K. Fedder Department of Electrical and Computer Engineering and The Robotics Institute Carnegie Mellon University Pittsburgh, PA 15213-3890 fedder@ece.cmu.edu http://www.ece.cmu.edu/~mems

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

Trends in Advanced Packaging Technologies An IMAPS UK view

Trends in Advanced Packaging Technologies An IMAPS UK view Trends in Advanced Packaging Technologies An IMAPS UK view Andy Longford Chair IMAPS UK 2007 9 PandA Europe IMAPS UK IeMRC Interconnection event December 2008 1 International Microelectronics And Packaging

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications.

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications. The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications May 8, 2007 Abstract: The challenge to integrate high-end, build-up organic packaging

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

23. Packaging of Electronic Equipments (2)

23. Packaging of Electronic Equipments (2) 23. Packaging of Electronic Equipments (2) 23.1 Packaging and Interconnection Techniques Introduction Electronic packaging, which for many years was only an afterthought in the design and manufacture of

More information

CHAPTER 4. Practical Design

CHAPTER 4. Practical Design CHAPTER 4 Practical Design The results in Chapter 3 indicate that the 2-D CCS TL can be used to synthesize a wider range of characteristic impedance, flatten propagation characteristics, and place passive

More information

Chapter 11 Testing, Assembly, and Packaging

Chapter 11 Testing, Assembly, and Packaging Chapter 11 Testing, Assembly, and Packaging Professor Paul K. Chu Testing The finished wafer is put on a holder and aligned for testing under a microscope Each chip on the wafer is inspected by a multiple-point

More information

Frequency-Domain Characterization of Power Distribution Networks

Frequency-Domain Characterization of Power Distribution Networks Frequency-Domain Characterization of Power Distribution Networks Istvan Novak Jason R. Miller ARTECH H O U S E BOSTON LONDON artechhouse.com Preface Acknowledgments xi xv CHAPTER 1 Introduction 1 1.1 Evolution

More information

Advanced High-Density Interconnection Technology

Advanced High-Density Interconnection Technology Advanced High-Density Interconnection Technology Osamu Nakao 1 This report introduces Fujikura s all-polyimide IVH (interstitial Via Hole)-multi-layer circuit boards and device-embedding technology. Employing

More information

Advances in Freescale Airfast RFICs Setting New Benchmarks in LDMOS for Macrocells through Small Cells

Advances in Freescale Airfast RFICs Setting New Benchmarks in LDMOS for Macrocells through Small Cells Freescale Semiconductor White Paper AIRFASTWBFWP Rev. 0, 5/2015 Advances in Freescale Airfast RFICs Setting New Benchmarks in LDMOS for Macrocells through Small Cells By: Margaret Szymanowski and Suhail

More information

Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication

Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication Zhaoran (Rena) Huang Assistant Professor Department of Electrical, Computer and System Engineering

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Surface Mount SOT-363 (SC-70) Package. Pin Connections and Package Marking GND. V dd. Note: Package marking provides orientation and identification.

Surface Mount SOT-363 (SC-70) Package. Pin Connections and Package Marking GND. V dd. Note: Package marking provides orientation and identification. GHz V Low Current GaAs MMIC LNA Technical Data MGA-876 Features Ultra-Miniature Package.6 db Min. Noise Figure at. GHz. db Gain at. GHz Single + V or V Supply,. ma Current Applications LNA or Gain Stage

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors. Glass Packages

Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors. Glass Packages 2016 IEEE 66th Electronic Components and Technology Conference Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors in Glass Packages Min Suk Kim, Markondeya Raj Pulugurtha, Zihan

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

DUAL STEPPER MOTOR DRIVER

DUAL STEPPER MOTOR DRIVER DUAL STEPPER MOTOR DRIVER GENERAL DESCRIPTION The is a switch-mode (chopper), constant-current driver with two channels: one for each winding of a two-phase stepper motor. is equipped with a Disable input

More information

Application Note 5525

Application Note 5525 Using the Wafer Scale Packaged Detector in 2 to 6 GHz Applications Application Note 5525 Introduction The is a broadband directional coupler with integrated temperature compensated detector designed for

More information

TECHNICAL REPORT: CVEL Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors

TECHNICAL REPORT: CVEL Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors TECHNICAL REPORT: CVEL-14-059 Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors Andrew J. McDowell and Dr. Todd H. Hubing Clemson University April 30, 2014

More information

Digital Design: An Embedded Systems Approach Using VHDL

Digital Design: An Embedded Systems Approach Using VHDL Digital Design: An Embedded Systems Approach Using Chapter 6 Implementation Fabrics Portions of this work are from the book, Digital Design: An Embedded Systems Approach Using, by Peter J. Ashenden, published

More information

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Prathap Muthana, Madhavan Swaminathan, Rao Tummala, P.Markondeya Raj, Ege Engin,Lixi

More information

Advanced Wafer Level Packaging of RF-MEMS with RDL Inductor

Advanced Wafer Level Packaging of RF-MEMS with RDL Inductor Advanced Wafer Level Packaging of RF-MEMS with RDL Inductor Paul Castillou, Roberto Gaddi, Rob van Kampen, Yaojian Lin*, Babak Jamshidi** and Seung Wook Yoon*** Cavendish Kinetics, 2960 North First Street,

More information

New Wave SiP solution for Power

New Wave SiP solution for Power New Wave SiP solution for Power Vincent Lin Corporate R&D ASE Group APEC March 7 th, 2018 in San Antonio, Texas. 0 Outline Challenges Facing Human Society Energy, Environment and Traffic Autonomous Driving

More information

Measurement Results for a High Throughput MCM

Measurement Results for a High Throughput MCM Measurement Results for a High Throughput MCM Funding: Paul Franzon Toby Schaffer, Alan Glaser, Steve Lipa North Carolina State University paulf@ncsu.edu www.ece.ncsu.edu/erl Outline > Heterogeneous System

More information

3D ICs: Recent Advances in the Industry

3D ICs: Recent Advances in the Industry 3D ICs: Recent Advances in the Industry Suresh Ramalingam Senior Director, Advanced Packaging Outline 3D IC Background 3D IC Technology Development Summary Acknowledgements Stacked Silicon Interconnect

More information

Heat sink. Insulator. µp Package. Heatsink is shown with parasitic coupling.

Heat sink. Insulator. µp Package. Heatsink is shown with parasitic coupling. X2Y Heatsink EMI Reduction Solution Summary Many OEM s have EMI problems caused by fast switching gates of IC devices. For end products sold to consumers, products must meet FCC Class B regulations for

More information

Modeling Physical PCB Effects 5&

Modeling Physical PCB Effects 5& Abstract Getting logical designs to meet specifications is the first step in creating a manufacturable design. Getting the physical design to work is the next step. The physical effects of PCB materials,

More information

High Frequency Single & Multi-chip Modules based on LCP Substrates

High Frequency Single & Multi-chip Modules based on LCP Substrates High Frequency Single & Multi-chip Modules based on Substrates Overview Labtech Microwave has produced modules for MMIC s (microwave monolithic integrated circuits) based on (liquid crystal polymer) substrates

More information

High Current Voltage Regulator Module (VRM) Uses DirectFET MOSFETs to Achieve Current Densities of 25A/in2 at 1MHz to Power 32-bit Servers

High Current Voltage Regulator Module (VRM) Uses DirectFET MOSFETs to Achieve Current Densities of 25A/in2 at 1MHz to Power 32-bit Servers High Current Voltage Regulator Module (VRM) Uses DirectFET MOSFETs to Achieve Current Densities of 25A/in2 at 1MHz to Power 32-bit Servers Ralph Monteiro, Carl Blake and Andrew Sawle, Arthur Woodworth

More information

Enabling Parallel Testing at Sort for High Power Products

Enabling Parallel Testing at Sort for High Power Products Enabling Parallel Testing at Sort for High Power Products Abdel Abdelrahman Tim Swettlen 2200 Mission College Blvd. M/S SC2-07 Santa Clara, CA 94536 Abdel.Abdelrahman@intel.com Tim.Swettlen@intel.com Agenda

More information

3D integrated POL converter

3D integrated POL converter 3D integrated POL converter Presented by: Arthur Ball I- 1 Motivation for this work Today s typical approach for >15A output Point of Load converters: Use PCB material for the entire circuit layout. Need

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information