Current Mode Sense Amplifiers Design in 0.25um CMOS Technology

Size: px
Start display at page:

Download "Current Mode Sense Amplifiers Design in 0.25um CMOS Technology"

Transcription

1 Current Mode Design in.5um CMOS Technology A. CHRISANTHOPOULOS 1, Y. MOISIADIS, Y. TSIATOUHAS 1, G. KAMOULAKOS 1 1 ISD S.A. K.Varnali Str., Halandri, Athens GREECE University of Athens Department of Informatics, 157, Athens GREECE Abstract: This paper presents a comparison of different current mode sense s in.5um CMOS technology. The sense s under consideration are suitable for current sensing in SRAM and flash memories. Simulation results are given regarding the delay time for different power supply voltages Vdd and bitline capacitances values. Furthermore comparative results are also given for the energy dissipated per sensing operation, while worst case and high temperature simulations are included, in order to expose limitations of the sensors in various operating conditions. Key-Words:, Memory periphery circuitry 1. Introduction Semiconductor memories are usually considered as the most vital component of digital logic system design such as computers, microcontrollers, DSPs and other microprocessor-based applications. Recent years there is also an increased tendency of embedding the part of memory (RAM and ROM) in the logic circuit, in order to achieve higher levels of integration and speed. As a result, the performance of the memory array and the limitations of the memory periphery circuitry (decoders, charge pumps, level shifters, sense s) can seriously affect the overall system performance in terms of power dissipation and speed. One of the most critical circuits in the periphery of a memory, is the sense (SA). Sense s are strongly related to the access time of memory, as they used to retrieve the stored memory data, by amplifying small signal variations in the bitlines. Designing fast, low-power and robust sense circuits is a challenge, especially in the area of submicron CMOS technologies. This is due to the fact that in modern memory designs, bit-lines tend to be larger, exhibiting a significant capacitance. As a 1 result severely limit the sensing speed by introducing extra signal delays. Furthermore, the small-signal voltage gain provided by submicron transistors decreases as the channel length becomes smaller, due to channel length modulation. Both of these problems can be overcome when current signals are sensed rather than voltage signals [1]. The current sensing approach seems to be more suitable for realizing high-speed and large-size memories, for low voltage operations, as no large voltage swing on the bit-lines is needed. According to our knowledge this is the first comparative study of modern current mode SA, in the submicron technology area. Five of the most promising SA are compared in terms of the delay time and power dissipation. The effect of the bit-line capacitance in the delay time is also examined. Finally worst case and high temperature simulations are presented. This work has been supported by the PANORAMA ESPRIT-35 E.U. project

2 . Circuits description The operation of the sense s presents two common phases: Precharge and Sense signal amplification. At the precharge phase the appropriate signals to force the sensing nodes at certain potentials are applied. At the sense operation a comparison is made between the currents of the sensing nodes. The result of this comparison retrieves the contents of the selected memory cell. The conventional current mode sense () [] is illustrated in Fig.1. The design of the sensor is based on classic cross-coupled latch structure (M-M7) with extra circuitry for sensor activation (M) and bit-line equalization (M1-M3). As a result, the output nodes of the sense are no longer loaded with the bit-line capacitance and the sense is able to response very rapidly. Also due to the small impedance at the sensing node, the highly capacitive bit lines change by only a few tenths of a volt during the sensing operation. Transistors M1-M form a traditional CMOS cross-coupled latch and have W=1.5um. M5 and M are biased in the linear region and provide a low impedance clamp between the bit-line and the reference potential Vref, which is equal to.1v. Next, the simple four-transistor () current mode sense [] is shown in Fig.3. This SA presents a virtual short circuit to the bit-lines, thus reducing the sensing delay, and rendering it practically insensitive to the bit-line capacitance. The circuit operates as follows: In the sensing phase the gatesource voltage of M1 will be equal to that of M3, since their currents are equal, their sizes are equal, and both transistors are in saturation. This voltage is presented by V1. The same applies to M and M. Their gatesource voltage is presented by V. It follows that, since Ysel is grounded, the left bit line (BL) will have voltage V1+V, and the right bit-line (BL1) will also have the same voltage. Fig.1: The conventional current mode () sense Fig. presents the clamped bit-line sense () [3]. It exhibits a fast response speed, almost independent of the bit-line capacitance. This is achieved by relocating the large bit-line capacitance to a node, within the sense, that has only a minimal effect on the speed of the circuit. Fig.: The clamped bit-line () sense Fig.3: The simple four transistor () sense When the current of the reference cell is bigger than the current of the data cell (Iref>Icell), it follows that the right-hand leg of the sense pass more current than the left-hand leg. In fact the difference between these currents is I=Iref-Icell. The drain currents of M3 and M are passed to the current transporting data lines DL1 and DL. The differential data lines current is therefore equal to the current I. Thus we obtain current sensing. The sensing delay is unaffected by the bit-line capacitance since the sensing nodes are detached from the bit-lines. This SA has low cost since only four transistors are placed on bit-line pitch (M1-M). The rest transistors are common to all bit-lines of a block. The pmos bias type () current mode sense [5] is shown in Fig.. At the precharging phase the bit-lines have the same current which is Io.

3 During the sensing phase, if for example Iref is bigger than Icell, the current flowing from bit-line BL to the is reduced to Io-ÄI, while the current flowing from bit-line BL1 to the remains at Io. Consequently, the current that flows through M1 and M3 is reduced to (Io- ÄI)/, while the current that flows through M and M remains at Io/. The current which flows through M5 is Io/ because the current flowing through M and M is the same, and the current of M5 is the mirrored current of M. Therefore, the load capacitance Cload is charged up by INV since M5 draws more current than M1 provides. In almost the same manner, the load capacitance Cload1 is discharged by INV1 since M provides more current than M draws. This way, the voltage of terminal OUTL drops while the voltage of terminal OUTR rises. Fig.: The pmos bias type () sense Fig.5: The differential latch type () sense The last SA is a differential latch type sense () [], [] and it s schematic is shown in Fig.5. This SA has also separated inputs and outputs for low voltage operation and for the acceleration of the sensing speed. This aspect is achieved inserting two extra transistors (M-M7) in the cross-coupled structure of the sensor that each one is driven by a bitline. 3. Simulations results and conclusions All the above mentioned circuits have been simulated using the ELDO simulator, for the.5um CMOS technology of ST-Microelectronics. The threshold voltages of the NMOS and PMOS transistors, for the typical case, were.557v and -.5V respectively. All the simulations were carried out keeping the same fan-in and fan-out. Also the transistors involved in the cross coupled part of the SAs and the transistors of the SA which involved in the current mirror topology were of equal size W/L=1.5um/.5um. Icell and Iref, represent the currents for the memory and the reference (dummy) cell respectively. During the simulations Icell was a value of 1uA for the high state and 1nA for the low state, while Iref was equal to 1nA. A capacitance of 5fF used as a load in the output node Figs. a-c presents the worst sensing delay time, for different capacitance values of the bit line. The and circuits, exhibit a performance independent of the bit-line capacitance (Cbl) value, while the performance of the rest sense circuits is strongly depended on the Cbl. More specifically Figs. b and c present the worst case simulation result according to the slow transistors model available in our technology for different temperatures. It results that the and are almost insensitive of technology and temperature variations. Figs. 7a-7c show the worst sensing delay time, for different values of the power supply voltage. The can sufficiently operate with low voltages, even under worst case and high temperature conditions, with no significant speed degradation. The performance of the design is limited down to 1.5V, while for lower Vdd values the delay time significantly increases. The sensing delay time of the is not seriously affected by the Vdd reduction. Simulations results indicate that the, and sensing circuits, are able to operate in a very limited range of Cbl and Vdd values. On the other hand, and appear to be very robust circuits with excellent behavior, under extreme operating conditions. In Figs. a-c, the energy dissipated per sensing operation, is illustrated. As it arises, the and sense s are applicable for low power operations. 3

4 Temp=7C Temp=7C 1,1 1, 1,7,3, Temp=7C Temp=7 1,1 1, 1,7,3, Temp=15C Fig.: Simulation sensing delay time versus Cbl (Vdd=.5V) Temp=15C 1,1 1, 1,7,3, Fig.7: Simulation sensing delay time versus Vdd (Cbl=1pF)

5 Energy(pJ) Energy(pJ) 1 1 Temp=7C Temp=7C References: [1] C. Toumazou, Fj. J. Lidgey, D.G. Haigh. Analoque IC design: The current-mode approach (EII circuits and systems series), published [] T. Uetake, Y. Maki, T. Nakadai, K. Yoshida, M. Susuki, R. Nanjo. A 1.ns Access 77MHz 3Kb SRAM Macro Symposium on VLSI Circuits Digest of Technical Papers. pp [3] Travis N. Blalock, and Richard C. Jaeger. A High-Speed Clamped Bit-Line Current-Mode Sense Amplifier. IEEE Journal of Solid-State circuits, vol., No., April pp. 5-5 [] Evert Seevinck, Petrus J. van Beers, and Hans Ontrop. Current-Mode Techniques for High- Speed VLSI Circuits with Application to Current Sense Amplifier for CMOS SRAM s. IEEE Journal of Solid-State circuits, vol., No., April pp [5] Katsuro Sasaki, Koichiro Ishibashi, Kiyotsugu Ueda, Kunihiro Komiyaji, Toshiaki Yamanaka, Naotaka Hashimoto, Hiroshi Toyoshima, Fumio Kojima and Akihiro Shimizu. A 7-ns 1-mW 1- Mb CMOS SRAM with Current Sense Amplifier. IEEE Journal of Solid-State circuits, vol.7, No.11, November 199. pp [] T. Seki et al. A -ns 1-Mb CMOS SRAM with Latched Sense Amplifier, IEEE Journal of Solid- State circuits, vol., No., April pp. 7-3 Energy(pJ) 1 Temp=15C Fig.: The energy dissipation for the sensing amplification 5

Review of Different Sense Amplifiers For SRAM in 180nm Technology

Review of Different Sense Amplifiers For SRAM in 180nm Technology Review of Different Sense Amplifiers For SRAM in 180nm Technology Geeta Pattnaik, Sweta Padma Dash, Komal Priyadarshini, Adyasa Samantaray, Adyasha Rath Abstract A comparison between different sense amplifiers

More information

FOR contemporary memories, array structures and periphery

FOR contemporary memories, array structures and periphery IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 2, FEBRUARY 2005 515 A Novel High-Speed Sense Amplifier for Bi-NOR Flash Memories Chiu-Chiao Chung, Hongchin Lin, Member, IEEE, and Yen-Tai Lin Abstract

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER Sandeep kumar 1, Charanjeet Singh 2 1,2 ECE Department, DCRUST Murthal, Haryana Abstract Performance of sense amplifier has considerable impact on the speed

More information

Design and Implementation of High Speed Sense Amplifier for Sram

Design and Implementation of High Speed Sense Amplifier for Sram American-Eurasian Journal of Scientific Research 12 (6): 320-326, 2017 ISSN 1818-6785 IDOSI Publications, 2017 DOI: 10.5829/idosi.aejsr.2017.320.326 Design and Implementation of High Speed Sense Amplifier

More information

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM V. Karthikeyan 1 1 Department of ECE, SVSCE, Coimbatore, Tamilnadu, India, Karthick77keyan@gmail.com

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

Design and Analysis of Hybrid Current/Voltage CMOS SRAM Sense Amplifier with Offset Cancellation Karishma Bajaj 1, Manjit Kaur 2, Gurmohan Singh 3 1

Design and Analysis of Hybrid Current/Voltage CMOS SRAM Sense Amplifier with Offset Cancellation Karishma Bajaj 1, Manjit Kaur 2, Gurmohan Singh 3 1 American International Journal of Research in Science, Technology, Engineering & Mathematics Available online at http://www.iasir.net ISSN (Print): 2328-3491, ISSN (Online): 2328-3580, ISSN (CD-ROM): 2328-3629

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

Design and performance evaluation of a low-power dataline SRAM sense amplifier

Design and performance evaluation of a low-power dataline SRAM sense amplifier Design and performance evaluation of a low-power dataline SRAM sense amplifier The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation

More information

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm Journal of Computer and Communications, 2015, 3, 164-168 Published Online November 2015 in SciRes. http://www.scirp.org/journal/jcc http://dx.doi.org/10.4236/jcc.2015.311026 Design and Implement of Low

More information

Design of a high speed and low power Sense Amplifier

Design of a high speed and low power Sense Amplifier Design of a high speed and low power Sense Amplifier A dissertation submitted in partial fulfillment of the requirement for the award of degree of Master of Technology in VLSI Design & CAD Submitted by

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information

A Circuit for Concurrent Detection of Soft and Timing Errors in Digital CMOS ICs

A Circuit for Concurrent Detection of Soft and Timing Errors in Digital CMOS ICs JOURNAL OF ELECTRONIC TESTING: Theory and Applications 20, 523 531, 2004 c 2004 Kluwer Academic Publishers. Manufactured in The United States. A Circuit for Concurrent Detection of Soft and Timing Errors

More information

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage:

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Email:

More information

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders B. Madhuri Dr.R. Prabhakar, M.Tech, Ph.D. bmadhusingh16@gmail.com rpr612@gmail.com M.Tech (VLSI&Embedded System Design) Vice

More information

Low Power High Speed Differential Current Comparator

Low Power High Speed Differential Current Comparator Low Power High Speed Differential Current Comparator Indrani Roy, Suman Biswas, B. S. Patro 2 M.Tech (VLSI & ES) Student, School of Electronics, KIIT University, Bhubaneswar, India Ph.D Scholar, School

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh

Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh Abstract A 5GHz low power consumption LNA has been designed here for the receiver front end using 90nm CMOS technology.

More information

A Novel Technique to Reduce Write Delay of SRAM Architectures

A Novel Technique to Reduce Write Delay of SRAM Architectures A Novel Technique to Reduce Write Delay of SRAM Architectures SWAPNIL VATS AND R.K. CHAUHAN * Department of Electronics and Communication Engineering M.M.M. Engineering College, Gorahpur-73 010, U.P. INDIA

More information

CML Current mode full adders for 2.5-V power supply

CML Current mode full adders for 2.5-V power supply CML Current full adders for 2.5-V power supply. Kazeminejad, K. Navi and D. Etiemble. LI - U 410 CNS at 490, Université Paris Sud 91405 Orsay Cedex, France bstract We present the basic structure and performance

More information

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications International Journal of Engineering Inventions e-issn: 2278-7461, p-issn: 2319-6491 Volume 3, Issue 11 (June 2014) PP: 1-7 Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power

More information

Design and Analysis of High Gain Differential Amplifier Using Various Topologies

Design and Analysis of High Gain Differential Amplifier Using Various Topologies Design and Analysis of High Gain Amplifier Using Various Topologies SAMARLA.SHILPA 1, J SRILATHA 2 1Assistant Professor, Dept of Electronics and Communication Engineering, NNRG, Ghatkesar, Hyderabad, India.

More information

SRAM Read-Assist Scheme for Low Power High Performance Applications

SRAM Read-Assist Scheme for Low Power High Performance Applications SRAM Read-Assist Scheme for Low Power High Performance Applications Ali Valaee A Thesis In the Department of Electrical and Computer Engineering Presented in Partial Fulfillment of the Requirements for

More information

TODAY S digital signal processor (DSP) and communication

TODAY S digital signal processor (DSP) and communication 592 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 4, APRIL 1997 Noise Margin Enhancement in GaAs ROM s Using Current Mode Logic J. F. López, R. Sarmiento, K. Eshraghian, and A. Núñez Abstract Two

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage 64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage Yufeng Xie a), Wenxiang Jian, Xiaoyong Xue, Gang Jin, and Yinyin Lin b) ASIC&System State Key Lab, Dept. of

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

Design, Simulation and FFT for Sense Amplifiers in DRAM using C5 process

Design, Simulation and FFT for Sense Amplifiers in DRAM using C5 process IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 4, Ver. II (Jul. - Aug. 2016), PP 59-64 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design, Simulation and FFT

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

Output Waveform Evaluation of Basic Pass Transistor Structure*

Output Waveform Evaluation of Basic Pass Transistor Structure* Output Waveform Evaluation of Basic Pass Transistor Structure* S. Nikolaidis, H. Pournara, and A. Chatzigeorgiou Department of Physics, Aristotle University of Thessaloniki Department of Applied Informatics,

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF HIGH RELIABLE 6T SRAM CELL V.Vivekanand*, P.Aditya, P.Pavan Kumar * Electronics and Communication

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY Neha Bakawale Departmentof Electronics & Instrumentation Engineering, Shri G. S. Institute of

More information

A Three-Port Adiabatic Register File Suitable for Embedded Applications

A Three-Port Adiabatic Register File Suitable for Embedded Applications A Three-Port Adiabatic Register File Suitable for Embedded Applications Stephen Avery University of New South Wales s.avery@computer.org Marwan Jabri University of Sydney marwan@sedal.usyd.edu.au Abstract

More information

A CMOS Low-Voltage, High-Gain Op-Amp

A CMOS Low-Voltage, High-Gain Op-Amp A CMOS Low-Voltage, High-Gain Op-Amp G N Lu and G Sou LEAM, Université Pierre et Marie Curie Case 203, 4 place Jussieu, 75252 Paris Cedex 05, France Telephone: (33 1) 44 27 75 11 Fax: (33 1) 44 27 48 37

More information

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

An Efficient Hybrid Voltage/Current mode Signaling Scheme for On-Chip Interconnects

An Efficient Hybrid Voltage/Current mode Signaling Scheme for On-Chip Interconnects An Efficient Hybrid Voltage/Current mode Signaling Scheme for On-Chip Interconnects M. Kavicharan, N.S. Murthy, and N. Bheema Rao Abstract Conventional voltage and current mode signaling schemes are unable

More information

Charge recycling 8T SRAM design for low voltage robust operation

Charge recycling 8T SRAM design for low voltage robust operation Southern Illinois University Carbondale OpenSIUC Articles Department of Electrical and Computer Engineering Spring --0 Charge recycling T SRAM design for low voltage robust operation Xu Wang Shanghai Jiaotong

More information

A Wordline Voltage Management for NOR Type Flash Memories

A Wordline Voltage Management for NOR Type Flash Memories A Wordline Voltage Management for NOR Type Flash Memories Student Name: Rohan Sinha M.Tech-ECE-VLSI Design & Embedded Systems-12-13 May 28, 2014 Indraprastha Institute of Information Technology, New Delhi

More information

電子電路. Memory and Advanced Digital Circuits

電子電路. Memory and Advanced Digital Circuits 電子電路 Memory and Advanced Digital Circuits Hsun-Hsiang Chen ( 陳勛祥 ) Department of Electronic Engineering National Changhua University of Education Email: chenhh@cc.ncue.edu.tw Spring 2010 2 Reference Microelectronic

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Design of Low Power Double Tail Comparator by Adding Switching Transistors

Design of Low Power Double Tail Comparator by Adding Switching Transistors Design of Low Power Double Tail Comparator by Adding Switching Transistors K.Mathumathi (1), S.Selvarasu (2), T.Kowsalya (3) [1] PG Scholar[VLSI, Muthayammal Engineering College, Rasipuram, Namakkal, Tamilnadu,

More information

Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology

Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology Vipul Bhatnagar, Pradeep Kumar and Sujata Pandey Amity School of Engineering and Technology, Amity University Uttar Pradesh, Noida, INDIA

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Memory Reliability and Yield Control Logic Reliability and Yield Noise Sources in T DRam BL substrate Adjacent BL C WBL α-particles WL leakage C S electrode C cross Transposed-Bitline Architecture

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL)

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) International Journal of Electronics Engineering, (1), 010, pp. 19-3 Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) Ashutosh Nandi 1, Gaurav Saini, Amit Kumar Jaiswal

More information

EE 140 HW7 SOLUTION 1. OPA334. a. From the data sheet, we see that. Vss 0.1V Vcm Vdd 1.5V

EE 140 HW7 SOLUTION 1. OPA334. a. From the data sheet, we see that. Vss 0.1V Vcm Vdd 1.5V EE 140 HW7 SOLUTION 1. OPA334 a. From the data sheet, we see that Vss 0.1V Vcm Vdd 1.5V The input common mode voltage must remain at least 1.5V below vdd. The input common mode voltage can be below Vss.

More information

An Efficient D-Flip Flop using Current Mode Signaling Scheme

An Efficient D-Flip Flop using Current Mode Signaling Scheme IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 02 August 2016 ISSN (online): 2349-784X An Efficient D-Flip Flop using Current Mode Signaling Scheme Sheona Varghese PG

More information

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 6 Combinational CMOS Circuit and Logic Design Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Advanced Reliable Systems (ARES) Lab. Jin-Fu Li,

More information

t Microprocessor Research Laboratories, Intel Corporation, Hillsboro, OR

t Microprocessor Research Laboratories, Intel Corporation, Hillsboro, OR AN ENERGY-EFFICIENT LEAKAGE-TOLERANT DYNAMIC CIRCUIT TECHNIQUE Lei Wang, Ram K. Krishnamurthyt, K. Soumyanatht, and Naresh R. Shanbhag Coordinated Science Laboratory, Department of Electrical and Computer

More information

RECENTLY, low-voltage and low-power circuit design

RECENTLY, low-voltage and low-power circuit design IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 4, APRIL 2008 319 A Programmable 0.8-V 10-bit 60-MS/s 19.2-mW 0.13-m CMOS ADC Operating Down to 0.5 V Hee-Cheol Choi, Young-Ju

More information

Lecture Integrated circuits era

Lecture Integrated circuits era Lecture 1 1.1 Integrated circuits era Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell laboratories. In 1961, first IC was introduced. Levels of Integration:-

More information

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 77-81 International Research Publication House http://www.irphouse.com Noise Tolerance Dynamic CMOS Logic

More information

Design and Analysis of Linear Voltage to current converters using CMOS Technology

Design and Analysis of Linear Voltage to current converters using CMOS Technology Design and Analysis of Linear Voltage to current converters using CMOS Technology Divya Bansal ECE department VLSI student Chandigarh engineering college,landra Divyabansal74@yahoo.in Ekta Jolly ECE Department

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

STATIC cmos circuits are used for the vast majority of logic

STATIC cmos circuits are used for the vast majority of logic 176 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 2, FEBRUARY 2017 Design of Low-Power High-Performance 2 4 and 4 16 Mixed-Logic Line Decoders Dimitrios Balobas and Nikos Konofaos

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2190 Biquad Infinite Impulse Response Filter Using High Efficiency Charge Recovery Logic K.Surya 1, K.Chinnusamy

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Design of Adders with Less number of Transistor

Design of Adders with Less number of Transistor Design of Adders with Less number of Transistor Mohammed Azeem Gafoor 1 and Dr. A R Abdul Rajak 2 1 Master of Engineering(Microelectronics), Birla Institute of Technology and Science Pilani, Dubai Campus,

More information

Rail to Rail Input Amplifier with constant G M and High Unity Gain Frequency. Arun Ramamurthy, Amit M. Jain, Anuj Gupta

Rail to Rail Input Amplifier with constant G M and High Unity Gain Frequency. Arun Ramamurthy, Amit M. Jain, Anuj Gupta 1 Rail to Rail Input Amplifier with constant G M and High Frequency Arun Ramamurthy, Amit M. Jain, Anuj Gupta Abstract A rail to rail input, 2.5V CMOS input amplifier is designed that amplifies uniformly

More information

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique James Lin, Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Laḃ

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

DESIGN OF A FULLY DIFFERENTIAL HIGH-SPEED HIGH-PRECISION AMPLIFIER

DESIGN OF A FULLY DIFFERENTIAL HIGH-SPEED HIGH-PRECISION AMPLIFIER DESIGN OF A FULLY DIFFERENTIAL HIGH-SPEED HIGH-PRECISION AMPLIFIER Mayank Gupta mayank@ee.ucla.edu N. V. Girish envy@ee.ucla.edu Design I. Design II. University of California, Los Angeles EE215A Term Project

More information

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Title Design and sensitivity analysis of a new current-mode sense amplifier for low-power SRAM Author(s) Citation

More information

Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto. High Speed 64kb SRAM. ECE 4332 Fall 2013

Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto. High Speed 64kb SRAM. ECE 4332 Fall 2013 Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto High Speed 64kb SRAM ECE 4332 Fall 2013 Outline Problem Design Approach & Choices Circuit Block Architecture Novelties Layout

More information

DESIGN OF LOW POWER OPERATIONAL AMPLIFIER USING CMOS TECHNOLOGIES

DESIGN OF LOW POWER OPERATIONAL AMPLIFIER USING CMOS TECHNOLOGIES DESIGN OF LOW POWER OPERATIONAL AMPLIFIER USING CMOS TECHNOLOGIES Nilofar Azmi 1, D. Sunil Suresh 2 1 M.Tech (VLSI Design), 2 Asst. Professor, Department of ECE Balaji Institute of Technology & Sciences,

More information

DESIGN OF A NOVEL CURRENT BALANCED VOLTAGE CONTROLLED DELAY ELEMENT

DESIGN OF A NOVEL CURRENT BALANCED VOLTAGE CONTROLLED DELAY ELEMENT DESIGN OF A NOVEL CURRENT BALANCED VOLTAGE CONTROLLED DELAY ELEMENT Pooja Saxena 1, Sudheer K. M 2, V. B. Chandratre 2 1 Homi Bhabha National Institute, Mumbai 400094 2 Electronics Division, Bhabha Atomic

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem A report Submitted to Canopus Systems Inc. Zuhail Sainudeen and Navid Yazdi Arizona State University July 2001 1. Overview

More information

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2 Minimization of Leakage Current of 6T SRAM using Optimal Technology Sumit Kumar Srivastava 1, Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology, Uttar Pradesh Technical

More information

Adiabatic Logic Circuits for Low Power, High Speed Applications

Adiabatic Logic Circuits for Low Power, High Speed Applications IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 10 April 2017 ISSN (online): 2349-784X Adiabatic Logic Circuits for Low Power, High Speed Applications Satyendra Kumar Ram

More information

STT-MRAM Read-circuit with Improved Offset Cancellation

STT-MRAM Read-circuit with Improved Offset Cancellation JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.347 ISSN(Online) 2233-4866 STT-MRAM Read-circuit with Improved Offset

More information

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS Neeta Pandey 1, Kirti Gupta 2, Stuti Gupta 1, Suman Kumari 1 1 Dept. of Electronics and Communication, Delhi Technological University, New Delhi (India) 2

More information

Analysis of Different Topologies of Inverter in 0.18µm CMOS Technology and its Comparision

Analysis of Different Topologies of Inverter in 0.18µm CMOS Technology and its Comparision Analysis of Different Topologies of Inverter in 0.18µm CMOS Technology and its Comparision Ashish Panchal (Senior Lecturer) Electronics & Instrumentation Engg. Department, Shri G.S.Institute of Technology

More information

EE434 ASIC & Digital Systems

EE434 ASIC & Digital Systems EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Lecture 4 More on CMOS Gates Ref: Textbook chapter

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Advanced Operational Amplifiers

Advanced Operational Amplifiers IsLab Analog Integrated Circuit Design OPA2-47 Advanced Operational Amplifiers כ Kyungpook National University IsLab Analog Integrated Circuit Design OPA2-1 Advanced Current Mirrors and Opamps Two-stage

More information

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s B.Padmavathi, ME (VLSI Design), Anand Institute of Higher Technology, Chennai, India krishypadma@gmail.com Abstract In electronics, a comparator

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Design of a Capacitor-less Low Dropout Voltage Regulator

Design of a Capacitor-less Low Dropout Voltage Regulator Design of a Capacitor-less Low Dropout Voltage Regulator Sheenam Ahmed 1, Isha Baokar 2, R Sakthivel 3 1 Student, M.Tech VLSI, School of Electronics Engineering, VIT University, Vellore, Tamil Nadu, India

More information

INTEGRATED CIRCUITS. AN109 Microprocessor-compatible DACs Dec

INTEGRATED CIRCUITS. AN109 Microprocessor-compatible DACs Dec INTEGRATED CIRCUITS 1988 Dec DAC products are designed to convert a digital code to an analog signal. Since a common source of digital signals is the data bus of a microprocessor, DAC circuits that are

More information

8T-SRAM Cell with Improved Read and Write Margins in 65 nm CMOS Technology

8T-SRAM Cell with Improved Read and Write Margins in 65 nm CMOS Technology 8T-SRAM Cell with Improved Read and Write Margins in 65 nm CMOS Technology Farshad Moradi (&), Mohammad Tohidi, Behzad Zeinali, and Jens K. Madsen Integrated Circuits and Electronics Laboratory, Department

More information

NOVEMBER 29, 2017 COURSE PROJECT: CMOS TRANSIMPEDANCE AMPLIFIER ECG 720 ADVANCED ANALOG IC DESIGN ERIC MONAHAN

NOVEMBER 29, 2017 COURSE PROJECT: CMOS TRANSIMPEDANCE AMPLIFIER ECG 720 ADVANCED ANALOG IC DESIGN ERIC MONAHAN NOVEMBER 29, 2017 COURSE PROJECT: CMOS TRANSIMPEDANCE AMPLIFIER ECG 720 ADVANCED ANALOG IC DESIGN ERIC MONAHAN 1.Introduction: CMOS Transimpedance Amplifier Avalanche photodiodes (APDs) are highly sensitive,

More information