TODAY S digital signal processor (DSP) and communication

Size: px
Start display at page:

Download "TODAY S digital signal processor (DSP) and communication"

Transcription

1 592 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 4, APRIL 1997 Noise Margin Enhancement in GaAs ROM s Using Current Mode Logic J. F. López, R. Sarmiento, K. Eshraghian, and A. Núñez Abstract Two different techniques that allow the implementation of embedded ROM s using a conventional GaAs MESFET technology are presented. The first approach is based on a novel circuit structure named low leakage current FET circuit (L2FC), which reduces significantly subthreshold currents. The second approach is based on pseudo current mode logic (PCML) which is by far the best choice in terms of noise margin levels. This characteristic is found to be the key factor when implementing GaAs ROM s because of its degradation as the number of word lines is increased. A 5-Kb ROM and a 2-Kb ROM were designed giving delays in the order of 2 ns and less than 1 ns, respectively. The results demonstrate the effectiveness of these techniques and their significance toward improving the noise margin. Index Terms Current-mode logic, Gallium materials/devices, logic design, MESFET integrated circuits, semiconductor memories, very-high-speed integrated circuits. I. INTRODUCTION TODAY S digital signal processor (DSP) and communication circuits demand memories having an ever decreasing cycle time. Placing embedded GaAs memories is crucial in many applications, basically to avoid chip-to-chip delays that occur when the memory is in a separate package. In very large scale integrated (VLSI) systems that require high-speed program control and storage, speed, power dissipation, and chip area have significant influence upon the performance of such architectures. Most of the published reports that have considered GaAs ROM s are based on new process technologies developed specifically for memory design [1] [3]. These processes impose separate chips for the memory, thus they introduce off-chip delays in the systems and hence limit the operating bandwidth. In order to overcome these disadvantages, we present in this paper the implementation of GaAs-based ROM s using a conventional process technology. A conventional GaAs process presents some problems, mainly due to its leaky characteristics and the small output logic swing,, which is in the order of 500 mv. Therefore, circuit options other than the direct-coupled FET logic (DCFL) becomes necessary in order to achieve higher noise margin and low sensitivity as the number of memory word lines is increased. Moreover, the new possibility when combined with structures such as divided word line (DWL) structure [4] Manuscript received November 16, 1995; revised November 15, This work was supported in part by the Comisión Interministerial de Ciencia y Tecnología from Spain (EDGAAS, TIC ) and by the European Community (GARDEN, CHRX-CT ). The work was also supported by the ARC. J. F. López, R. Sarmiento, and A. Núñez are with the Centre for Applied Microelectronics, University of Las Palmas de Gran Canaria, Las Palmas de Gran Canaria, Spain. K. Eshraghian is with the Department of Computer and Communications Engineering, Edith Cowan University, Perth, Western Australia. Publisher Item Identifier S (97)02475-X. Fig. 1. DCFL inverter. or hierarchical word decoding (HWD) architecture [5], could provide GaAs ROM s having acceptable storage capacities. In Section II, a brief overview of DCFL is presented, as it is the most commonly used logic family. Temperature and leakage current effects are shown in order to illustrate their influence upon logic swing and delay. Section III introduces low leakage current FET circuit (L2FC) as a circuit technique for reduction of leakage current in GaAs ROM s. The use of pseudo current mode logic (PCML) as the basis for implementing ROM s is presented in Section IV. Finally, in Section V, a comparison between the two approaches is presented, and results for 5-Kb and 2-Kb ROM s using Vitesse 0.8 m are given. II. DCFL LIMITATIONS FOR ROM DESIGN The DCFL family shown in Fig. 1 resembles the silicon nmos logic, where a D-MESFET is used as an active load, and E-MESFET s are used to implement the logic functions. Due to the presence of the Schottky diode acting as a clamp at the input of the gate, a reduced logic swing relative to a CMOS technology is obtained which results in shorter signal transition times and therefore permits the realization of higher speed circuits. DCFL is a ratio logic family, which means by adjusting a dimensioning factor,, defined as it becomes possible to obtain satisfactory logic levels and noise margin for different process spreads. In this expression, and represent transistor width and length, respectively, represents pull-up transistors and pull-down. Operation (1) /97$ IEEE

2 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 4, APRIL Fig. 2. Effect of temperature in logic swing. Fig. 3. ID 0 VGS characteristics for an E-MESFET at 25 C. of DCFL is influenced by temperature. An increase in temperature results in an increase in the leakage current, which together with a shift in the threshold voltage degrades the noise margin. A. Temperature Effects The design of ROM s using GaAs MESFET DCFL is a challenging task due to the deterioration of logic voltage swing. The low voltage level of an enhancement/depletion (E/D) inverter is higher than the lowest power supply by more than 100 mv, because the low level is due to the tradeoff between the charge current through the load FET and the discharge current through the driver FET. As the temperature increases, the characteristics of the GaAs MESFET also change. This is shown in Fig. 2 for the case of an inverter. The high level of the output signal falls, the low level rises, and the transient region from high level to low level becomes wide. Therefore, the signal voltage swing becomes small, the transient slope from high level to low level becomes smooth, and the inverter threshold is decreased. The smaller signal voltage swing and the gentler transient slope lead to the decrease of the noise margin. The degradation of the inverter characteristics by an increase in the temperature is a physical phenomenon and little can be done to prevent this degradation. This is one of the most important problems in using E/D-DCFL for memory circuits at high temperature. B. Leakage Current It is well known that in both MOSFET s [6] and MES- FET s [7], small amounts of current continue to flow from source to drain for values below the pinch-off voltage. The basic physical mechanism for subthreshold current, that is thermionic emission/diffusion over a potential barrier, is the same for both MOSFET s and MESFET s. However, due to the larger threshold voltage of typical MOSFET s (700 mv) compared with threshold voltage of 200 mv for E-type MESFET s and the small logic low level obtained by CMOS, a more negative is obtained in the OFF state for CMOS than for DCFL GaAs circuits. Therefore, the observed drain current in the OFF state is five to six orders of magnitude larger than that of the MOSFET s. This implies that the subthreshold leakage current easily dominates circuit operation. As an example, the graphical representation of drain-to-source current versus gate-to-source voltage for an E-MESFET with 10 m width and 1.2 m length is shown in Fig. 3. The dependence of the leakage current with the different voltages is represented by the following expression: where and are fitting parameters. Basically a ROM matrix consists of NOR gates with high fan-in that correspond to the number of word lines, while the output of each NOR gate defines the bit line. The ROM is programmed by the presence or absence of a path between word and bit lines. In such a situation, there are two possible cases, as shown in Fig. 4. The first one represents a logic 1 programming, with a charge current, given by the pull-up transistor to the bit line, represented by. The second case represents a logic 0 programming in the bit line. In both cases, represents the total subthreshold current that flows to through the pull-downs. Thus, the influence of these currents for this kind of architecture is apparent as long as the number of word lines is increased as shown in Fig. 5, where represents the number of transistors contributing to the total leakage current, thus, representing the number of word lines in the core. As can be seen, it is possible to implement DCFL NOR gates with up to eight inputs. However, for larger number of inputs such as 16, no switching is produced because of the total leakage current. A possible solution is the use of a modified [8], where degradation is made slower as fan-in increases. This method permits the doubling of the storage capacity of the ROM compared with the one obtained with a fixed aspect ratio. In the modified approach, we have proposed a new variable (2)

3 594 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 4, APRIL 1997 Fig. 4. ROM programmation. Fig. 5. Logic swing variations versus fan-in (N +1) for NOR gates. Fig inputs L2FC NOR gate. aspect ratio, modulated by the number of word lines, so that as this number increases, the aspect ratio decreases. Although significant improvements are encountered in the noise margin when applying this approach, it is still influenced by the fan-in. Fig. 6. L2FC inverter and layout. III. LOW LEAKAGE CURRENT FET CIRCUIT (L2FC) Low leakage current FET circuit (L2FC) presents as its main advantage a low noise margin sensitivity with fan-in. It is based on placing the input transistors with such a low when they are in cutoff region that leakage currents are almost negligible. As it was shown in Fig. 3, for the gate-to-source voltage,, below the threshold voltage, (210 mv for E- MESFET in H-GaAs II process), a current in the order of a few A continues to flow. If the MESFET is operated with negative values for instead of positive values, it becomes possible to significantly reduce the leakage currents. Such an approach is shown in Fig. 6. When is logic high ( 1.35 V), is ON. Due to the voltage drop of 100 mv produced through, node is placed at 1.9 V. As in is approximately 0.55 V, this transistor is also ON, and therefore, will be at

4 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 4, APRIL Fig. 8. N-input PCML NOR gate. Application to ROM s and layout. logic low. If changes to logic low state ( 1.9 V), will be OFF, and most of the current from the current source will flow to bus through diode, producing a voltage drop, and hence placing of at a negative voltage. on this circuit is degraded still producing leakage currents on the gate of the transistor it is driving. However, this fact does not affect the performance of the memory since it is a transistor located outside the core of the memory and hence, not strongly affected by leakage currents as is the case for high fan-in NOR gates. This approach allows us to implement ROM s with up to 256 word lines and perfect switching in a range of temperature from 0 Cto70C as shown in Fig. 7. Noise margin in this case is in the order of 130 mv and remains almost fixed with fan-in increase. However, dispersion in process parameters still affects greatly the noise margin, and hence, alternative solutions are needed. IV. PSEUDO CURRENT MODE LOGIC (PCML) Using DCFL, low noise margin (approximately 120 mv) is the main drawback [9], [10]. This is due to the barrier height of the MESFET Schottky diode, which limits the output voltage swing. Other problems are the large sensitivity of gate delay and noise margin with fan-in, fan-out, and capacitance, as well as the poor temperature stability of the basic gates. Although with L2FC the complexity of the memory cell is increased, it also improves the sensitivity of noise margin with fan-in. However, low noise margin still imposes stringent requirements on threshold uniformity and process variation. This fact has spurred an interest in other solutions that increase the logic swing or alleviate strict process requirements altogether. One of these solutions is based on current mirrors. Fig. 8 illustrates the structure for a ROM based on (PCML) [11]. The operation of this circuit can

5 596 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 4, APRIL 1997 TABLE I COMPARISON OF DELAY SENSITIVITY the internal node, and furthermore ensures is turned OFF when the input goes low. It also provides a means to adjust the inverter s switching threshold. The last stage is essentially a DCFL inverter. For a NOR gate, transistors to can pull up hard on the gate of transistor. This fact causes power dissipation variations and could overdrive the DCFL inverter, causing some degradation in through the source resistance of. However, that is not the case when dealing with ROM applications in which just one input (or none of them if in stand-by state) can be high at any one time. As for the case of DCFL, PCML is also a ratioed logic. Device sizes are usually chosen so that the output can be pulled down to a conveniently low logic-zero voltage. The main advantage of this logic family relies on the stability and high noise margins with fan-in as compared with the other two solutions studied. Fig. 9. Noise Margin characteristics in terms of temperature, parameter dispersion and fan-in for DCFL, L2FC and PCML. be described as follows. When one of the inputs, E-type device for example, is high ( 0.6 V because of the source follower scheme), it functions as a current source since its drain voltage is greater than effective gate voltage ( ) and sources current to the current mirror formed by the two E-type devices and, thus causing the output node to be pulled down. actually reduces the speed and the gain of the circuit, while improving its rejection of temperature and parameter dispersion effects. When the input goes low, is cutoff, which disrupts the pull-down current. D-type device pulls up to the rail. Device serves to discharge V. RESULTS AND DISCUSION A comparison between the two solutions studied in this paper together with that of DCFL is shown in Fig. 9 in terms of noise margin variation with temperature, parameter dispersion, and fan-in. For the case of dispersion, negative values represent a slow process (for example, 3 represents 3 slow process), positive values represent a fast process, and zero value represents a typical process. The restricted noise margin in DCFL is improved using L2FC, where its sensitivity with fan-in is very low. Noise margin for this structure is greatly affected by parameter dispersion, obtaining values below 60 mv for 3 at 25 C. Although PCML is also influenced by dispersion, it is by far the best choice for ROM design because of its higher noise margin, which is above 250 mv for 3 dispersion and because of its low variation with fan-in. It also incurs some power penalty due to larger swing on the world line relative to DCFL, but noise margin limitations do not make DCFL a real contender for large ROM designs. Improved schemes for sensing reduced bit-line voltage swings could increase PCML performance. Two parameters, and, which define the delay sensitivity with temperature and with parasitic capacitance in the bit line are now introduced. is very important since it is related with the length of the bit line and therefore with the number of word lines. These parameters are expressed as follows:

6 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 4, APRIL TABLE II COMPARISON OF PERFORMANCE FOR L2FC AND PCML BASED MEMORIES The values obtained for the different structures, together with the transistor count per primitive cell when implementing ROM s, are given in Table I. It has to be mentioned that when calculating for PCML, the variation of the capacitance has been made at the internal node of the gate, since that node is the one that represents the bit line. For the other two cases, the bit line is represented at the output node of the gate. Once again, PCML provides better performance in all three aspects, thus confirming the choice of this logic family. Taking into account all these considerations, a 5 Kb and a 2 Kb ROM were designed using L2FC and PCML, respectively. In order to reduce bit-line capacitances and hence delay, the memories were partitioned in four blocks for the first one and in two for the second. The performance of the two L2FC and PCML based memories are given in Table II for a typical case. VI. CONCLUSIONS The performance of a high-speed control-driven processor depends greatly on how fast instructions and data are obtained from SRAM s. This is even truer for application-specific dedicated processors, coprocessors, and other dataflow dominated computations for which fast ROM s are also required. As GaAs technology matures, its real merits are becoming clear because of a better understanding of the physics, circuit theory, experimental results, and products. This technology is found to be a good choice in order to get the bandwidth requirements needed by current and future processors. This work illustrates the method whereby a conventional GaAs E/D process can be utilized to realize high-performance ROM s just by dealing with circuit design aspects permitting inclusion of dense onchip GaAs ROM s. The use of PCML in GaAs ROM s has been shown to be an effective choice for the design of a ROM when the aim is obtaining high noise margin and low sensitivity with fan-in. REFERENCES [1] J. Chun, R. Eden, A. Fiedler, D. Kang, and L. Yeung, A 1.2 ns GaAs 4K read only memory, in Proc. IEEE GaAs IC Symp., 1988, pp [2] M. Ino, H. Suto, N. Kato, and H. Yamazaki, A 1.2 ns GaAs 4kb readonly-memory fabricated by 0.5 m-gate BP-SAINT, in Proc. IEEE GaAs IC Symp., 1987, pp [3] J. Chun, S. Enam, D. Kang, and B. Remund, A pipelined 650 MHz GaAs 8K ROM with translation logic, in Proc. IEEE GaAs IC Symp., 1990, pp [4] M. Yoshimoto et al., A divided word-line structure in the static RAM and its application to a 64K full CMOS RAM, IEEE J. Solid-State Circuits, vol. SC-18, pp , Oct [5] T. Hirose et al., A 20 ns, 4-Mb CMOS SRAM with hierarchical word decoding architecture, IEEE J. Solid-State Circuits, vol. 25, pp , Oct [6] R. R. Troutman, Subthreshold design considerations for insulated gate field-effect transistors, IEEE J. Solid-State Circuits, vol. SC-9, pp , Apr [7] S. J. Lee et al., Ultra-low power, high speed GaAs 256 bit static RAM, in IEEE GaAs IC Symp., 1983, pp [8] J. F. López, K. Eshraghian, M. K. McGeever, A. Núñez, and R. Sarmiento, Gallium arsenide MESFET memory architectures, in IEEE Int. Workshop on Memory Technology, Design and Testing, San Jose, CA, Aug. 1995, pp [9] S. I. Long and M. Sundaram, Noise-margin limitations on galliumarsenide VLSI, IEEE J. Solid-State Circuits, vol. 23, pp , Aug [10] S. I. Long and S. E. Butner, Gallium Arsenide Digital Integrated Circuit Design. New York: McGraw-Hill, [11] R. A. Duncan, K. C. Smith, and A. S. Sedra, Gallium-arsenide pseudo current mode logic, Electron. Lett., no. 25, pp , Dec

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Class-AB Low-Voltage CMOS Unity-Gain Buffers

Class-AB Low-Voltage CMOS Unity-Gain Buffers Class-AB Low-Voltage CMOS Unity-Gain Buffers Mariano Jimenez, Antonio Torralba, Ramón G. Carvajal and J. Ramírez-Angulo Abstract Class-AB circuits, which are able to deal with currents several orders of

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology CMOS Digital Logic Design with Verilog Chapter1 Digital IC Design &Technology Chapter Overview: In this chapter we study the concept of digital hardware design & technology. This chapter deals the standard

More information

IN RECENT years, low-dropout linear regulators (LDOs) are

IN RECENT years, low-dropout linear regulators (LDOs) are IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 9, SEPTEMBER 2005 563 Design of Low-Power Analog Drivers Based on Slew-Rate Enhancement Circuits for CMOS Low-Dropout Regulators

More information

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2 ISSN 2277-2685 IJESR/October 2014/ Vol-4/Issue-10/682-687 Thota Keerthi et al./ International Journal of Engineering & Science Research DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology K. N. Toosi University of Technology Chapter 7. Field-Effect Transistors By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology http://wp.kntu.ac.ir/faradji/digitalelectronics.htm

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

Atypical op amp consists of a differential input stage,

Atypical op amp consists of a differential input stage, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 6, JUNE 1998 915 Low-Voltage Class Buffers with Quiescent Current Control Fan You, S. H. K. Embabi, and Edgar Sánchez-Sinencio Abstract This paper presents

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

Transconductance Amplifier Structures With Very Small Transconductances: A Comparative Design Approach

Transconductance Amplifier Structures With Very Small Transconductances: A Comparative Design Approach 770 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 6, JUNE 2002 Transconductance Amplifier Structures With Very Small Transconductances: A Comparative Design Approach Anand Veeravalli, Student Member,

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

GENERALLY speaking, to decrease the size and weight of

GENERALLY speaking, to decrease the size and weight of 532 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 24, NO. 2, FEBRUARY 2009 A Low-Consumption Regulated Gate Driver for Power MOSFET Ren-Huei Tzeng, Student Member, IEEE, and Chern-Lin Chen, Senior Member,

More information

Architecture of Computers and Parallel Systems Part 9: Digital Circuits

Architecture of Computers and Parallel Systems Part 9: Digital Circuits Architecture of Computers and Parallel Systems Part 9: Digital Circuits Ing. Petr Olivka petr.olivka@vsb.cz Department of Computer Science FEI VSB-TUO Architecture of Computers and Parallel Systems Part

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

THE GROWTH of the portable electronics industry has

THE GROWTH of the portable electronics industry has IEEE POWER ELECTRONICS LETTERS 1 A Constant-Frequency Method for Improving Light-Load Efficiency in Synchronous Buck Converters Michael D. Mulligan, Bill Broach, and Thomas H. Lee Abstract The low-voltage

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects International Journal of Scientific and Research Publications, Volume 3, Issue 9, September 2013 1 A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip

More information

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders B. Madhuri Dr.R. Prabhakar, M.Tech, Ph.D. bmadhusingh16@gmail.com rpr612@gmail.com M.Tech (VLSI&Embedded System Design) Vice

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

RESISTOR-STRING digital-to analog converters (DACs)

RESISTOR-STRING digital-to analog converters (DACs) IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 6, JUNE 2006 497 A Low-Power Inverted Ladder D/A Converter Yevgeny Perelman and Ran Ginosar Abstract Interpolating, dual resistor

More information

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Sumit Kumar Srivastavar 1, Er.Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology,

More information

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator 1 G. Rajesh, 2 G. Guru Prakash, 3 M.Yachendra, 4 O.Venka babu, 5 Mr. G. Kiran Kumar 1,2,3,4 Final year, B. Tech, Department

More information

FOR digital circuits, CMOS technology scaling yields an

FOR digital circuits, CMOS technology scaling yields an IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1259 A Low-Voltage Folded-Switching Mixer in 0.18-m CMOS Vojkan Vidojkovic, Johan van der Tang, Member, IEEE, Arjan Leeuwenburgh, and Arthur

More information

Lecture Integrated circuits era

Lecture Integrated circuits era Lecture 1 1.1 Integrated circuits era Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell laboratories. In 1961, first IC was introduced. Levels of Integration:-

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

AN increasing number of video and communication applications

AN increasing number of video and communication applications 1470 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 9, SEPTEMBER 1997 A Low-Power, High-Speed, Current-Feedback Op-Amp with a Novel Class AB High Current Output Stage Jim Bales Abstract A complementary

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 Paper Setter Detail Name Designation Mobile No. E-mail ID Raina Modak Assistant Professor 6290025725 raina.modak@tib.edu.in

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

Journal of Electron Devices, Vol. 20, 2014, pp

Journal of Electron Devices, Vol. 20, 2014, pp Journal of Electron Devices, Vol. 20, 2014, pp. 1786-1791 JED [ISSN: 1682-3427 ] ANALYSIS OF GIDL AND IMPACT IONIZATION WRITING METHODS IN 100nm SOI Z-DRAM Bhuwan Chandra Joshi, S. Intekhab Amin and R.

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 11 BiCMOS PMOS rray Q1 NMOS rray Y NMOS rray Q2 dib brishamifar EE Department IUST Contents Introduction BiCMOS Devices BiCMOS Inverters BiCMOS Gates BiCMOS Drivers

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 77-81 International Research Publication House http://www.irphouse.com Noise Tolerance Dynamic CMOS Logic

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Low Power and Fast Transient High Swing CMOS Telescopic Operational Amplifier

Low Power and Fast Transient High Swing CMOS Telescopic Operational Amplifier RESEARCH ARTICLE OPEN ACCESS Low Power and Fast Transient High Swing CMOS Telescopic Operational Amplifier Akshay Kumar Kansal 1, Asst Prof. Gayatri Sakya 2 Electronics and Communication Department, 1,2

More information

High Performance ZVS Buck Regulator Removes Barriers To Increased Power Throughput In Wide Input Range Point-Of-Load Applications

High Performance ZVS Buck Regulator Removes Barriers To Increased Power Throughput In Wide Input Range Point-Of-Load Applications WHITE PAPER High Performance ZVS Buck Regulator Removes Barriers To Increased Power Throughput In Wide Input Range Point-Of-Load Applications Written by: C. R. Swartz Principal Engineer, Picor Semiconductor

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design

Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for Low Power Design IOSR Journal of Engineering (IOSRJEN) e-issn: 2250-3021, p-issn: 2278-8719 Vol. 3, Issue 6 (June. 2013), V1 PP 14-21 Performance Analysis of Energy Efficient and Charge Recovery Adiabatic Techniques for

More information

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology Chih-Ting Yeh (1, 2) and Ming-Dou Ker (1, 3) (1) Department

More information

UNIT-1 Fundamentals of Low Power VLSI Design

UNIT-1 Fundamentals of Low Power VLSI Design UNIT-1 Fundamentals of Low Power VLSI Design Need for Low Power Circuit Design: The increasing prominence of portable systems and the need to limit power consumption (and hence, heat dissipation) in very-high

More information

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER Mr. M. Prakash Mr. S. Karthick Ms. C Suba PG Scholar, Department of ECE, BannariAmman Institute of Technology, Sathyamangalam, T.N, India 1, 3 Assistant

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

MAGNETORESISTIVE random access memory

MAGNETORESISTIVE random access memory 132 IEEE TRANSACTIONS ON MAGNETICS, VOL. 41, NO. 1, JANUARY 2005 A 4-Mb Toggle MRAM Based on a Novel Bit and Switching Method B. N. Engel, J. Åkerman, B. Butcher, R. W. Dave, M. DeHerrera, M. Durlam, G.

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

Introduction to Electronic Devices

Introduction to Electronic Devices Introduction to Electronic Devices (Course Number 300331) Fall 2006 Dr. Dietmar Knipp Assistant Professor of Electrical Engineering Information: http://www.faculty.iubremen.de/dknipp/ Source: Apple Ref.:

More information

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Objectives In this lecture you will learn the following Ratioed Logic Pass Transistor Logic Dynamic Logic Circuits

More information

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER M. Taherzadeh-Sani, R. Lotfi, and O. Shoaei ABSTRACT A novel class-ab architecture for single-stage operational amplifiers is presented. The structure

More information

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells Reza Faghih Mirzaee, Mohammad Hossein Moaiyeri, Keivan Navi Abstract In this paper we present two novel 1-bit full adder cells in dynamic logic

More information

IN digital circuits, reducing the supply voltage is one of

IN digital circuits, reducing the supply voltage is one of IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 61, NO. 10, OCTOBER 2014 753 A Low-Power Subthreshold to Above-Threshold Voltage Level Shifter S. Rasool Hosseini, Mehdi Saberi, Member,

More information

2-Bit Magnitude Comparator Design Using Different Logic Styles

2-Bit Magnitude Comparator Design Using Different Logic Styles International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 2 Issue 1 ǁ January. 2013 ǁ PP.13-24 2-Bit Magnitude Comparator Design Using Different Logic

More information

Novel extension of neu-mos techniques to neu-gaas

Novel extension of neu-mos techniques to neu-gaas Microelectronics Journal Microelectronics Journal 31 (2000) 577 582 www.elsevier.com/locate/mejo Novel extension of neu-mos techniques to neu-gaas P. Celinski a, D. Abbott a, *, S.F. Al-Sarawi a, J.F.

More information

A Low-Quiescent Current Low-Dropout Regulator with Wide Input Range

A Low-Quiescent Current Low-Dropout Regulator with Wide Input Range International Journal of Electronics and Electrical Engineering Vol. 3, No. 3, June 2015 A Low-Quiescent Current Low-Dropout Regulator with Wide Input Range Xueshuo Yang Beijing Microelectronics Tech.

More information

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. II (Nov -Dec. 2015), PP 06-15 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org High-Performance of Domino Logic

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

SN74CBTS3384 Bus Switches Provide Fast Connection and Ensure Isolation

SN74CBTS3384 Bus Switches Provide Fast Connection and Ensure Isolation SN74CBTS3384 Bus Switches Provide Fast Connection and Ensure Isolation SCDA002A August 1996 IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to discontinue

More information

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques:

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques: Reading Lecture 17: MOS transistors digital Today we are going to look at the analog characteristics of simple digital devices, 5. 5.4 And following the midterm, we will cover PN diodes again in forward

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Comparative Analysis of Compensation Techniques for improving PSRR of an OPAMP

Comparative Analysis of Compensation Techniques for improving PSRR of an OPAMP Comparative Analysis of Compensation Techniques for improving PSRR of an OPAMP 1 Pathak Jay, 2 Sanjay Kumar M.Tech VLSI and Embedded System Design, Department of School of Electronics, KIIT University,

More information

Chapter 6 DIFFERENT TYPES OF LOGIC GATES

Chapter 6 DIFFERENT TYPES OF LOGIC GATES Chapter 6 DIFFERENT TYPES OF LOGIC GATES Lesson 9 CMOS gates Ch06L9-"Digital Principles and Design", Raj Kamal, Pearson Education, 2006 2 Outline CMOS (n-channel based MOSFETs based circuit) CMOS Features

More information

A NEW APPROACH TO DESIGN LOW POWER CMOS FLASH A/D CONVERTER

A NEW APPROACH TO DESIGN LOW POWER CMOS FLASH A/D CONVERTER A NEW APPROACH TO DESIGN LOW POWER CMOS FLASH A/D CONVERTER C Mohan¹ and T Ravisekhar 2 ¹M. Tech (VLSI) Student, Sree Vidyanikethan Engineering College (Autonomous), Tirupati, India 2 Assistant Professor,

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Output Circuit of the TTL Gate

Output Circuit of the TTL Gate JFETs, G a As DEVICES A N D CIRC UITS, A N D TTL CIRC UITS 27 28 MICR OELECTR ONIC CIRCUITS SEDRA /SMITH 14.3 TRANSISTOR TRANSISTOR LOGIC (TTL OR T 2 L) For more than two decades (late 1960s to late 1980s)

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Low Power &High Speed Domino XOR Cell

Low Power &High Speed Domino XOR Cell Low Power &High Speed Domino XOR Cell Payal Soni Electronics and Communication Department, FET- Mody University Lakshmangarh, Dist.-Sikar, India E-mail: payal.soni3091@gmail.com Abstract Shiwani Singh

More information

Negative high voltage DC-DC converter using a New Cross-coupled Structure

Negative high voltage DC-DC converter using a New Cross-coupled Structure Negative high voltage DC-DC converter using a New Cross-coupled Structure Jun Zhao 1, Kyung Ki Kim 2 and Yong-Bin Kim 3 1 Marvell Technology, USA 2 Department of Electronic Engineering, Daegu University,

More information

Current Mode Sense Amplifiers Design in 0.25um CMOS Technology

Current Mode Sense Amplifiers Design in 0.25um CMOS Technology Current Mode Design in.5um CMOS Technology A. CHRISANTHOPOULOS 1, Y. MOISIADIS, Y. TSIATOUHAS 1, G. KAMOULAKOS 1 1 ISD S.A. K.Varnali Str., 15 33 Halandri, Athens GREECE University of Athens Department

More information

Comparative Assessment of Adaptive Body-Bias SOI Pass-Transistor Logic

Comparative Assessment of Adaptive Body-Bias SOI Pass-Transistor Logic omparative ssessment of daptive Body-Bias SOI Pass-Transistor Logic Geun Rae ho Tom hen Department of Electrical and omputer Engineering olorado State University Fort ollins, O 8523 E-mail:{geunc,chen}@engr.colostate.edu

More information