An Efficient Hybrid Voltage/Current mode Signaling Scheme for On-Chip Interconnects

Size: px
Start display at page:

Download "An Efficient Hybrid Voltage/Current mode Signaling Scheme for On-Chip Interconnects"

Transcription

1 An Efficient Hybrid Voltage/Current mode Signaling Scheme for On-Chip Interconnects M. Kavicharan, N.S. Murthy, and N. Bheema Rao Abstract Conventional voltage and current mode signaling schemes are unable to meet the speed requirements and power specifications in deep submicron technologies. The challenges posed by aggressive interconnect scaling forces VLSI circuit designers to look for alternative signaling techniques at nanometre technology nodes. Voltage mode signaling is very slow while current mode signaling suffers from serious static power dissipation problem. This paper presents a novel hybrid voltage/current mode VLSI interconnect signaling scheme which addresses the above problems. In which, both the proposed interconnect driver and receiver circuits are controlled by an efficient Schmitt trigger based control circuit which generates control signals based on input data transitions. These circuits switch from voltage mode to current mode for high data rates thus reducing the delay and dynamic power dissipation significantly. Performance comparison shows that the proposed hybrid scheme is 2 to 2.5 times faster than existing voltage mode schemes for the data rates more than 400 Mbps. It is also observed from the simulation results that the power dissipation and the power delay product (minimum energy) of the proposed scheme are much better than those of voltage and current mode schemes for data rates of >20 Mbps. Keywords Current mode, Delay, Hybrid mode, Power, Power delay product, Schmitt trigger, Voltage mode, VLSI Interconnect. I. INTRODUCTION S the technologies are scaling down, the performance of Aon-chip global interconnects has become a bottleneck in modern VLSI chips. The conventional signaling schemes [1]- [4] such as voltage-mode are not able to meet the speed requirements and power specifications of future technology generations. Hence, these specifications force designers to look for alternative signaling techniques for addressing interconnects scaling problems. Voltage mode with repeaters insertion scheme for driving long interconnects was a popular scheme to reduce delay, but increases significant power This work was sponsored by the MHRD, Govt. of India as a Research Project on Modeling and Noise Reduction in VLSI Interconnect Structures in the DSM Regime. M. Kavicharan is pursuing his Ph.D in the Dept. of ECE at the National Institute of Technology, Warangal, India. (phone: ; kavicharan@nitw.ac.in). N. S. Murthy is a senior Professor at the National Institute of Technology, Warangal, India. ( nsm@nitw.ac.in). N. Bheema Rao is is a Assoc. Professor in the Dept. of ECE at the National Institute of Technology, Warangal, India. ( nbr.rao@gmail.com). dissipation in VLSI circuits. The delay dependence on the line length changes from quadratic to linear [1], but power dissipated by repeater circuits increases linearly with line length [5]. With aggressive interconnect down scaling, line length increases leading to more number of repeaters which further increases the power dissipation. As an alternative to voltage mode signaling with repeaters, current-mode signaling was developed and validated for SRAM circuits [6]-[8]. Later current mode scheme was improved by [9]-[13] for better performance. The conventional current mode scheme signal propagation can be up to three times faster than voltage mode scheme [14]. The significant reduction of delay in current mode signaling is due to loading of the line with low impedance receiver which shifts the system dominant pole [15]. In general, an important advantage of current mode signaling over voltage mode signaling is that, its dynamic power dissipation component can be significantly reduced as a result of smaller voltage swings in the interconnect [3]. However, the major drawback with conventional current mode scheme is the static power consumption at low data rates. Hence, current mode scheme is generally suitable only for long buses carrying high activity data. In ideal voltage mode signaling, driver drives an open circuited interconnect, which causes the output to follow input. In the case of current mode signaling, load is a short circuited interconnect (ideally zero) and hence there exists a continuous current path. This leads to static power dissipation, which limits its use in short interconnects or low data rates, hence voltage mode is better at lower data rates [16]. In addition, it has also been observed that [17], current mode signaling consumes even more power than voltage mode with repeaters. This is the motivation to develop a novel hybrid voltage/current mode circuit which offers the advantages of both voltage mode and current mode for low and high data rates respectively. Repeater less signaling over 10mm line using the proposed hybrid scheme is presented in this paper, which is the major difference from another hybrid scheme [18]. This paper presents an adaptive bandwidth approach using a hybrid voltage/current mode circuit which operates in current mode for high input data rates otherwise in voltage mode with much reduction in static power dissipation. The performance of the proposed hybrid scheme is compared with voltage mode ISBN:

2 and current mode circuits in terms of 50% delay and power consumption. Another important metric for design of all electronic circuits is power delay product, which indicates the degree of energy dissipation in a circuit. Hence, power delay product as a figure of merit should have minimum value for good design. It has been found that, out of existing voltage and current mode schemes, the proposed hybrid scheme has better power delay product for input data rates of above 20 Mbps. The rest of the paper is organised as follows. Section 2 presents a brief discussion on voltage and current mode interconnects in an attempt to clear the basic idea of various modes. In section 3, the proposed hybrid voltage/current-mode scheme is discussed along with Schmitt trigger based control circuit. Section 4 compares the performance of proposed scheme with current mode scheme and voltage mode schemes. Conclusions are drawn in section 5. II. VOLTAGE MODE VS CURRENT MODE The generalised distributed RLC model of voltage/current mode interconnect is shown in Fig.1. The unit length Resistance, Capacitance and Inductance are represented as R, C and L respectively, and dl is denoted as length of each lumped section. The driver is modelled as an inverter with an output capacitance of C s and receiver is approximated as a parallel combination of R L and C L respectively. Input signal employs random input data of Non-return-to-zero (NRZ) format. b III. HYBRID VOLTAGE/CURRENT MODE SCHEME Driver Interconnect Receiver Fig. 2 Block diagram of proposed hybrid voltage/current-mode Scheme The block diagram of the proposed hybrid voltage/currentmode scheme for global interconnects is shown in Fig.2. It consists of driver and receiver circuits with input and control signals. The necessary control signals are generated by Schmitt trigger based control circuit. The same control signals should be given to both the driver and receiver circuits with proper timing synchronization. The driver and receiver circuits operate in two modes (voltage-mode and current-mode) based on control signals (, b ). If the control signals are =1 and b =0 the circuit operates in current-mode for high data rates otherwise in voltage-mode for low data rates. A. Control signal generation circuit b V out C s Rdl Ldl Cdl Rdl Gdl Ldl Cdl Gdl R L V out C L M1 M2 C M3 M4 M5 M7 b Driver Receiver Fig. 1 Generalised distributed RLC model of voltage/current mode interconnect. M6 M8 According to signaling point of view, both voltage and current-mode driver circuits are similar and drive distributed model of RLC interconnect. On the other hand, current mode receiver offers low impedance load while voltage mode receiver provides a high impedance capacitive termination [15]. Fig.1 shows that, for a voltage mode receiver of load capacitance C L, addition of a parallel low impedance resistance R L will change its operation to current mode. Fig. 3 control signal generation circuit Fig.3 shows schematic of control signal generation circuit, which generates control signals ( and b ) based on input data transitions. The circuit consists of an inverter based charge pump and a Schmitt trigger [19]. The conventional Schmitt Trigger complete design is presented by Filanovsky and Baltes [20]. The circuit is designed such that for higher input data rates the control signal is high and for slowly varying signals control signal is low, depending on the duration of the input pulse width. If the voltage across capacitor is zero, transistors M5 and M6 are OFF and M3 and M7 are in linear mode of operation hence, the control voltage = Vdd. When the capacitor voltage rises above V tn, M6 becomes ON and M8 source node voltage starts decreasing, it causes M5 to be ON and control ISBN:

3 voltage starts decreasing. For fast data activity, the capacitor does not have enough time to charge/discharge hence, the capacitor voltage remains below threshold voltage V tn, leading to =. Similarly for slow variation of input data activity, the capacitor voltage and feedback transistors ensure to be below V tn. Thus, for small variation in input data activity the control voltage signal is low otherwise high. Control voltage must be high before the high frequency input signals are applied such that current mode operation (low impedance load) can be invoked at the driver and receiver circuits without delay. In the absence of input data transitions or low data rate signals control voltage can be automatically discharged to low such that power dissipation is minimized in voltage mode operation. The charging time of control signal defines the setup time which ensures the input data signal transitions must be stable before the stable state of control voltage. B. Hybrid voltage/current-mode Driver Circuit C. Hybrid voltage/current-mode Receiver Circuit The hybrid voltage/current-mode receiver circuit is shown in Fig. 5, consists of a voltage mode receiver, diode connected M22 and M23 transistors followed by a low gain amplifier (an inverter with gain A~25). The receiver operates in voltage mode and current mode depending on =0 and 1 respectively. In voltage mode the receiver operates in full swing mode whereas in current mode the receiver input voltage swings around the switching threshold of the diode connected inverter. The inverter acts as a low gain amplifier and will generate full swing voltage levels at the output. Driver Interconnect M17 M18 M19 V out b M21 M22 M23 M25 Vout M26 M9 M13 b M20 M24 b M10 M11 M12 b M14 M15 M16 Interconnect Fig. 4 Hybrid voltage/current-mode Driver circuit Receiver Fig. 4 shows the schematic of hybrid voltage/current mode driver circuit. Transmitter section has two drivers: voltage mode driver and current mode driver. Left half of the hybrid voltage/current mode driver circuit consists of M9 PMOS switch, an inverter and M12 NMOS switch connected in series. When is low M9 is ON and the inverter (M10- M11) operates in voltage-mode, forcing the line to operate in full-swing voltage mode due to the high input impedance of the inverter. For higher data rates when is high, activates the right half of the driver circuit and operates in current-mode with low swing (Fig. 7). This causes the dynamic power dissipation of current mode operation to be lower than voltage mode full swing operation. When is high, M13 NMOS gives weak high and M16 PMOS gives weak low. Hence, the voltage swing on the wire can be reduced. Depending on the control signals, input data can be transmitted either through left half voltage mode driver or right half current mode driver. Fig. 5 Hybrid voltage/current-mode Receiver circuit The diode connected inverter and inverter as an amplifier are designed such that switching threshold is constant. In current mode the driver voltage swings around switching threshold of diode connected inverter, which is shown in Fig. 7. The output data V out follows the input data with a delay of 0.5 ns for current mode operation of hybrid scheme, whereas in voltage mode the obtained delay is 1.31 ns. IV. SIMULATION RESULTS The operation of the proposed hybrid voltage/current mode scheme was simulated in 180nm CMOS technology for of 1.8V. The presented schemes were designed for the line length of 10mm with typical line dimensions using predictive technology model (PTM) [21] of 180nm technology. The per unit length interconnect parameters were extracted using the field solver TCAD Raphael and are presented as follows: R=42.5Ω/cm, L=2.311 nh/cm, C=17.43 pf/cm, G=0.443S/cm and R s = Ω/cm. The simulation results of voltage mode, current mode [23] and proposed hybrid voltage/current mode are obtained using HSPICE W-element method. As per release of HSPICE, at high frequency operation the imaginary term of the skin effect has been added for accurate frequency response. The frequency dependent resistance including skin effect is given by R(f ) = R + Rs (1 + j) f where R is the DC resistance and R s is the skin effect resistance. ISBN:

4 Table1. Performance Mbps (wire length=10 mm) of various schemes Scheme Delay (ns) Power (µw) Power Delay product(ns-µw) Voltage-Mode Voltage-Mode with Repeaters [22] Current-Mode [23] Proposed hybrid scheme Table1 shows the performance comparison between the various schemes for 10 mm line at low data rate of 20 Mbps. In this case hybrid voltage/current mode scheme switches to voltage mode scheme at low data rates and hence the advantage of zero static power is utilised. As compared to other schemes the delay and power dissipation of proposed scheme are approaching voltage mode scheme. The power delay product of the proposed hybrid voltage/current scheme is much less than the voltage mode scheme with repeaters [22], current mode scheme [23] and almost equal to simple voltage mode scheme. Table2. Performance Mbps (wire length=10 mm) of various schemes Scheme Delay (ns) Power (µw) Power Delay product(ns- µw) Voltage mode Voltage mode with repeaters[22] Current mode[23] Proposed hybrid scheme Fig. 6 Simulated waveforms of input signal, control signal, Receiver input signal and Output signal of voltage mode interconnect operation when control voltage=0. Fig. 6 shows an input data stream ( ), control voltage ( ), voltage mode driver output and the output data of proposed scheme. As stated earlier, for slow input data activities the control voltage is set as low and operates in voltage mode. The proposed scheme operates in voltage mode and the driver output is having large swing which is in contrast with the current mode driver output small swing, as shown in Fig. 7. Table2 shows the performance comparison between the various schemes for 10mm line at high input data rate of 400 Mbps. In this case hybrid voltage/current mode scheme switches to current mode and hence the advantage of high speed operation is utilized at high data rate. Increasing the data rate to 400 Mbps yields better improvements in relative performance for the proposed scheme approximately 2.5 times decrease in delay over the voltage mode scheme [1] and approximately half of the power is saved when compared with the above schemes. Furthermore, the power delay product as a figure of merit is much better when compared with other schemes. Fig. 7 Simulated waveforms of input signal, Control voltage, Driver output and Output signal of current mode interconnect operation when control voltage=1. Fig. 7 shows an input data stream ( ), control voltage ( ), current mode driver output and the output data of proposed scheme. For high data rates of input, the output of control signal is set as high and operates in current mode. From the Fig. 7 it is clear that, the driver output voltage of current mode scheme has low swing of 0.2 V when compared with voltage mode driver output full swing of 1.8 V results in lower delay. ISBN:

5 the proposed hybrid voltage/current mode scheme as compared to others, which is the prime requirement in high performance VLSI systems. Fig. 8 Simulated waveforms of input data, control voltage, its inversion and output data of hybrid voltage/current mode circuit. Fig.8 shows an arbitrary input data stream ( ), control voltage ( ), inverted control voltage (b ) and the resultant output data of proposed scheme. These control signals and b are generated using Schmitt trigger based control circuit (Fig. 3). It is apparent that for low data rates (20 Mbps) the generated control signal is low and for higher data rates (500 Mbps) the inverted control signal is low, thus ensuring the voltage and current mode operations respectively. The obtained output of proposed hybrid circuit follows the input with negligible attenuation. Fig. 9 Comparison between the voltage mode, current mode and proposed hybrid voltage/current mode interconnect schemes for Power delay product vs Data rate. Fig.9 shows the power delay product of various schemes for multiple input data rates. It is noticed that the proposed scheme is much better for high data rate applications (>20 Mbps). Power delay product of proposed hybrid voltage/current mode outperforms the existing voltage and current mode schemes. At higher data rates, the power delay product of full-swing voltage mode and low swing current mode signaling are likely to become more dominant as seen from Fig. 9. The minimum power delay product is achieved for V. CONCLUSIONS The proposed hybrid voltage/current-mode scheme combines the benefits of voltage-mode and current-mode techniques. The circuit switches to voltage mode or current mode based on the control signal which is input data dependent. At lower data rates the circuit operates in voltagemode scheme whereas it switches to current-mode scheme for higher data rates, thus it includes the advantages of both voltage mode (zero static power consumption) and current mode (for high speed operation). At data rate of 400 Mbps, the proposed scheme has approximately 2.5 times decrease in delay over the voltage mode scheme and approximately half of the power is saved when compared with the presented schemes. For the data rates of >20 Mbps, the proposed hybrid voltage/current mode circuit power delay product is better than the existing schemes. The proposed scheme also provides an alternative solution for the placement-constrained repeater inserted wires. REFERENCES [1] H. B. Bakoglu, Circuits, Interconnections, and Packaging for VLSI, Addison-Wesley Company, Reading, MA [2] Y.I. Ismail, E.G. Friedman, Optimum repeater insertion based on a CMOS delay model for on-chip RLC interconnect, in 1998 Proc. IEEE ASIC., pp [3] Adler and E. G. Friedman, Repeater design to reduce delay and power in resistive interconnect, IEEE Trans. Circuits Syst. I, vol. 45, pp , May [4] K. Banerjee, A. Mehrotra, A power-optimal repeater insertion methodology for global interconnects in nanometer designs, IEEE Trans. Electron. Devices, 49 (11) [5] Atul Maheshwari and Wayne Burleson, Current sensing techniques for global interconnects in very deep submicron (VDSM) CMOS, IEEE Computer Society Workshop on VLSI, [6] E. Seevinck, P. van Beers, and H. Ontrop, Current-mode techniques for high-speed VLSI circuits with application to current sense amplifier for CMOS SRAM s, IEEE J. Solid-State Circuits, vol. 26, pp , Apr [7] M. Izumikawa and M. Yamashina, A current direction sense technique for multiport SRAM s, IEEE J. Solid-State Circuits, vol. 31, pp , Apr [8] T. Blalock and R. Jaeger, A high-speed sensing scheme for 1T dynamic RAM s utilizing the clamped bit-line sense amplifier, IEEE J. Solid-State Circuits, vol. 27, pp , Apr [9] A. Katoch, H. Veendrick, and E. Seevinck, High speed current-mode signaling circuits for on-chip interconnects, in IEEE International Symposium on Circuits and Systems, (ISCAS), May 2005, Kobe Japan, vol. 4, pp [10] A. Maheshwari and W. Burleson, Differential current-sensing for onchip interconnects, IEEE Transactions on Very Large Scale Integration Systems, vol. 12, no. 12, pp , Dec [11] R. Bashirullah, L. Wentai, and R. K. Cavin, Current-mode signaling in deep submicrometer global interconnects, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 11, no. 3, pp , June [12] Tuuna S, Nigussie E, Isoaho J, Tenhunen, H., Modeling of Energy Dissipation in RLC Current-Mode Signaling, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.20, no.6, pp.1146,1151, June ISBN:

6 [13] Dave M, Jain M, Shojaei Baghini M, Sharma D, A Variation Tolerant Current-Mode Signaling Scheme for On-Chip Interconnects, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.21, no.2, pp.342,353, Feb [14] Katoch A, Seevinck E, Veendrick H, Fast signal propagation for point to point on-chip long interconnects using current sensing, Proceedings of the 28th European Solid-State Circuits Conference, ESSCIRC 2002, pp.195,198, Sept [15] Bashirullah R, Wentai Liu, Cavin R, Accurate delay model and experimental verification for current/voltage mode on-chip interconnects, International Symposium on Circuits and Systems, ISCAS '03, vol.5, pp , May [16] Dave M, Baghini M.S, Sharma D, Low power current mode receiver with inductive input impedance, International Symposium on Low Power Electronics and Design (ISLPED), pp ,11-13 Aug [17] V. Venkatraman and W. Burleson, Robust multi-level current-mode on-chip interconnect signaling in the presence of process variations, Proceedings of Sixth International Symposium on Quality Electronic Design, pp , March [18] R. Bashirullah, W. Liu, R. Cavin III, and D. Edwards, A hybrid current/voltage mode signaling scheme with adaptive bandwidth capability, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no.8, pp , Aug [19] Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic Digital integrated circuits-a design perspective. Prentice Hall, 2ed edition, [20] Filanovsky I.M, Baltes H, CMOS Schmitt trigger design, IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, vol. 41, no.1, pp.46-49, Jan [21] Berkely Predictive Technology Model, Available: [22] R. Chandel, S. Sarkar, R.P. Agarwal, Delay analysis of a single voltage-scaled-repeater driven long interconnect, Microelectronics International Journal 22 (3) (2005) [23] M. M. Tabrizi, N. Masoumi, and M. M. Deilami, High speed currentmode signaling for interconnects considering transmission line and crosstalk effects, in Proc. MWCAS, 2007, pp ISBN:

A Novel Low Power Optimization for On-Chip Interconnection

A Novel Low Power Optimization for On-Chip Interconnection International Journal of Scientific and Research Publications, Volume 3, Issue 3, March 2013 1 A Novel Low Power Optimization for On-Chip Interconnection B.Ganga Devi*, S.Jayasudha** Department of Electronics

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM V. Karthikeyan 1 1 Department of ECE, SVSCE, Coimbatore, Tamilnadu, India, Karthick77keyan@gmail.com

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

CARBON NANOTUBE BASED DELAY MODEL FOR HIGH SPEED ENERGY EFFICIENT ON CHIP DATA TRANSMISSION USING: CURRENT MODE TECHNIQUE

CARBON NANOTUBE BASED DELAY MODEL FOR HIGH SPEED ENERGY EFFICIENT ON CHIP DATA TRANSMISSION USING: CURRENT MODE TECHNIQUE CARBON NANOTUBE BASED DELAY MODEL FOR HIGH SPEED ENERGY EFFICIENT ON CHIP DATA TRANSMISSION USING: CURRENT MODE TECHNIQUE Sunil Jadav 1, Munish Vashistah 2, Rajeevan Chandel 3 1,2 Electronics Engineering

More information

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF HIGH RELIABLE 6T SRAM CELL V.Vivekanand*, P.Aditya, P.Pavan Kumar * Electronics and Communication

More information

AS very large-scale integration (VLSI) circuits continue to

AS very large-scale integration (VLSI) circuits continue to IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 11, NOVEMBER 2002 2001 A Power-Optimal Repeater Insertion Methodology for Global Interconnects in Nanometer Designs Kaustav Banerjee, Member, IEEE, Amit

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Low-Power Design Methodology for an On-chip Bus with Adaptive Bandwidth Capability

Low-Power Design Methodology for an On-chip Bus with Adaptive Bandwidth Capability 36.2 Low-Power Design Methodology for an On-chip Bus with Adaptive Bandwidth Capability Rizwan Bashirullah Wentai Liu* Ralph K. Cavin Department of Electrical Department of Engineering Semiconductor Research

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

LOW-POWER design is one of the most critical issues

LOW-POWER design is one of the most critical issues 176 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 2, FEBRUARY 2007 A Novel Low-Power Logic Circuit Design Scheme Janusz A. Starzyk, Senior Member, IEEE, and Haibo He, Member,

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

Variable-Segment & Variable-Driver Parallel Regeneration Techniques for RLC VLSI Interconnects

Variable-Segment & Variable-Driver Parallel Regeneration Techniques for RLC VLSI Interconnects Variable-Segment & Variable-Driver Parallel Regeneration Techniques for RLC VLSI Interconnects Falah R. Awwad Concordia University ECE Dept., Montreal, Quebec, H3H 1M8 Canada phone: (514) 802-6305 Email:

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

An Efficient D-Flip Flop using Current Mode Signaling Scheme

An Efficient D-Flip Flop using Current Mode Signaling Scheme IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 02 August 2016 ISSN (online): 2349-784X An Efficient D-Flip Flop using Current Mode Signaling Scheme Sheona Varghese PG

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 2, Ver. II (Mar.-Apr. 2017), PP 20-27 www.iosrjournals.org Cmos Full Adder and

More information

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology IJIRST International Journal for Innovative Research in Science & Technology Volume 2 Issue 10 March 2016 ISSN (online): 2349-6010 An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS

More information

Low-Power Clock Distribution Using a Current-Pulsed Clocked Flip-Flop

Low-Power Clock Distribution Using a Current-Pulsed Clocked Flip-Flop Low-Power Clock Distribution Using a Current-Pulsed Clocked Flip-Flop M.Shivaranjani 1 B.H. Leena 2 1) M. Shivaranjani, M.Tech (VLSI), Malla Reddy Engineering College, Hyderabad, India 2 B.H. Leena, Associate

More information

A Novel Latch design for Low Power Applications

A Novel Latch design for Low Power Applications A Novel Latch design for Low Power Applications Abhilasha Deptt. of Electronics and Communication Engg., FET-MITS Lakshmangarh, Rajasthan (India) K. G. Sharma Suresh Gyan Vihar University, Jagatpura, Jaipur,

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

Skin Effect: A Natural Phenomenon for Minimization of Ground Bounce in VLSI RC Interconnect Shilpi Lavania

Skin Effect: A Natural Phenomenon for Minimization of Ground Bounce in VLSI RC Interconnect Shilpi Lavania Skin Effect: A Natural Phenomenon for Minimization of Ground Bounce in VLSI RC Interconnect Shilpi Lavania International Science Index, Electronics and Communication Engineering waset.org/publication/9997602

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic M.Manikandan 2,Rajasri 2,A.Bharathi 3 Assistant Professor, IFET College of Engineering, Villupuram, india 1 M.E,

More information

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique Mansi Gangele 1, K.Pitambar Patra 2 *(Department Of

More information

Figure 1 Typical block diagram of a high speed voltage comparator.

Figure 1 Typical block diagram of a high speed voltage comparator. IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 6, Ver. I (Nov. - Dec. 2016), PP 58-63 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Design of Low Power Efficient

More information

Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications

Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications K. Kavitha MTech VLSI Design Department of ECE Narsimha Reddy Engineering College JNTU, Hyderabad, INDIA K.

More information

Design and Implementation of Low Power Dynamic Thermometer Encoder For Flash ADC

Design and Implementation of Low Power Dynamic Thermometer Encoder For Flash ADC Design and Implementation of Low Power Dynamic Thermometer Encoder For Flash ADC Abstract: In the design of a low power Flash ADC, a major challenge lies in designing a high speed thermometer code to binary

More information

DesignCon Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling. Brock J. LaMeres, University of Colorado

DesignCon Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling. Brock J. LaMeres, University of Colorado DesignCon 2005 Design of a Low-Power Differential Repeater Using Low Voltage and Charge Recycling Brock J. LaMeres, University of Colorado Sunil P. Khatri, Texas A&M University Abstract Advances in System-on-Chip

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design International Conference on Multidisciplinary Research & Practice P a g e 625 Comparison of High Speed & Low Power Techniques & in Full Adder Design Shikha Sharma 1, ECE, Geetanjali Institute of Technical

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

Design and Analysis of CMOS based Low Power Carry Select Full Adder

Design and Analysis of CMOS based Low Power Carry Select Full Adder Design and Analysis of CMOS based Low Power Carry Select Full Adder Mayank Sharma 1, Himanshu Prakash Rajput 2 1 Department of Electronics & Communication Engineering Hindustan College of Science & Technology,

More information

A NEW APPROACH TO DESIGN LOW POWER CMOS FLASH A/D CONVERTER

A NEW APPROACH TO DESIGN LOW POWER CMOS FLASH A/D CONVERTER A NEW APPROACH TO DESIGN LOW POWER CMOS FLASH A/D CONVERTER C Mohan¹ and T Ravisekhar 2 ¹M. Tech (VLSI) Student, Sree Vidyanikethan Engineering College (Autonomous), Tirupati, India 2 Assistant Professor,

More information

DESIGN OF ADIABATIC LOGIC BASED COMPARATOR FOR LOW POWER AND HIGH SPEED APPLICATIONS

DESIGN OF ADIABATIC LOGIC BASED COMPARATOR FOR LOW POWER AND HIGH SPEED APPLICATIONS DOI: 10.21917/ijme.2017.064 DESIGN OF ADIABATIC LOGIC FOR LOW POWER AND HIGH SPEED APPLICATIONS T.S. Arun Samuel 1, S. Darwin 2 and N. Arumugam 3 1,3 Department of Electronics and Communication Engineering,

More information

Driver Pre-emphasis Techniques for On-Chip Global Buses

Driver Pre-emphasis Techniques for On-Chip Global Buses Driver Pre-emphasis Techniques for On-Chip Global Buses Liang Zhang, John Wilson, Rizwan Bashirullah *, Lei Luo, Jian Xu, and Paul Franzon Dept. of ECE, North Carolina State University, Raleigh, NC 2766

More information

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s B.Padmavathi, ME (VLSI Design), Anand Institute of Higher Technology, Chennai, India krishypadma@gmail.com Abstract In electronics, a comparator

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications International Journal of Engineering Inventions e-issn: 2278-7461, p-issn: 2319-6491 Volume 3, Issue 11 (June 2014) PP: 1-7 Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power

More information

2-Bit Magnitude Comparator Design Using Different Logic Styles

2-Bit Magnitude Comparator Design Using Different Logic Styles International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 2 Issue 1 ǁ January. 2013 ǁ PP.13-24 2-Bit Magnitude Comparator Design Using Different Logic

More information

Adiabatic Logic Circuits for Low Power, High Speed Applications

Adiabatic Logic Circuits for Low Power, High Speed Applications IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 10 April 2017 ISSN (online): 2349-784X Adiabatic Logic Circuits for Low Power, High Speed Applications Satyendra Kumar Ram

More information

[Deepika* et al., 5(7): July, 2016] ISSN: IC Value: 3.00 Impact Factor: 4.116

[Deepika* et al., 5(7): July, 2016] ISSN: IC Value: 3.00 Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY A COMPARATIVE STUDY AND ANALYSIS OF FULL ADDER Deepika*, Ankur Gupta, Ashwani Panjeta * (Department of Electronics & Communication,

More information

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects International Journal of Scientific and Research Publications, Volume 3, Issue 9, September 2013 1 A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip

More information

Design and Implementation of ALU Chip using D3L Logic and Ancient Mathematics

Design and Implementation of ALU Chip using D3L Logic and Ancient Mathematics Design and Implementation of ALU Chip using D3L and Ancient Mathematics Mohanarangan S PG Student (M.E-Applied Electronics) Department of Electronics and Communicaiton Engineering Sri Venkateswara College

More information

THE GROWTH of the portable electronics industry has

THE GROWTH of the portable electronics industry has IEEE POWER ELECTRONICS LETTERS 1 A Constant-Frequency Method for Improving Light-Load Efficiency in Synchronous Buck Converters Michael D. Mulligan, Bill Broach, and Thomas H. Lee Abstract The low-voltage

More information

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE Abstract Employing

More information

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IMPROVEMENT IN NOISE AND DELAY IN DOMINO CMOS LOGIC CIRCUIT Ankit Kumar*, Dr. A.K. Gautam * Student, M.Tech. (ECE), S.D. College

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic ISSN (e): 2250 3005 Volume, 08 Issue, 9 Sepetember 2018 International Journal of Computational Engineering Research (IJCER) Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge

More information

Current Mode Interconnect

Current Mode Interconnect Department Of Electrical Engineering Indian Institute Of Technology, Bombay March 21, 2009 Inductive peaking: Concept Inductive Peaking for Bandwith Enhancement On-chip interconnects can be modeled as

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

International Journal of Modern Trends in Engineering and Research

International Journal of Modern Trends in Engineering and Research International Journal of Modern Trends in Engineering and Research www.ijmter.com e-issn No.:2349-9745, Date: 28-30 April, 2016 Temperaments in the Design of Low-voltage Low-power Double Tail Comparator

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

STATIC cmos circuits are used for the vast majority of logic

STATIC cmos circuits are used for the vast majority of logic 176 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 2, FEBRUARY 2017 Design of Low-Power High-Performance 2 4 and 4 16 Mixed-Logic Line Decoders Dimitrios Balobas and Nikos Konofaos

More information

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Kyung Ki Kim a) and Yong-Bin Kim b) Department of Electrical and Computer Engineering, Northeastern University, Boston, MA

More information

High efficiency DC-DC Buck converter architecture suitable for embedded applications using switched capacitor

High efficiency DC-DC Buck converter architecture suitable for embedded applications using switched capacitor International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 2 Issue 4 ǁ April. 2013 ǁ PP.15-19 High efficiency DC-DC Buck converter architecture suitable

More information

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology Research Paper American Journal of Engineering Research (AJER) e-issn : 2320-0847 p-issn : 2320-0936 Volume-3, Issue-9, pp-15-19 www.ajer.org Open Access Design of a Low Voltage low Power Double tail comparator

More information

Index terms: Analog to digital converter, Flash ADC, Pseudo NMOS logic, Pseudo Dynamic CMOS logic multi threshold voltage CMOS inverters.

Index terms: Analog to digital converter, Flash ADC, Pseudo NMOS logic, Pseudo Dynamic CMOS logic multi threshold voltage CMOS inverters. Low Power CMOS Flash ADC C Mohan, T Ravisekhar Abstract The present investigation proposes an efficient low power encoding scheme intended for a flash analog to digital converter. The designing of a thermometer

More information

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1

DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1 DESIGN AND ANALYSIS OF LOW POWER ADDERS USING SUBTHRESHOLD ADIABATIC LOGIC S.Soundarya 1, MS.S.Anusooya 2, V.Jean Shilpa 3 1 PG student, VLSI and Embedded systems, 2,3 Assistant professor of ECE Dept.

More information

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Shaik. Yezazul Nishath School Of Electronics Engineering (SENSE) VIT University Chennai, India Abstract This paper outlines

More information

Implementation of Low Power Inverter using Adiabatic Logic

Implementation of Low Power Inverter using Adiabatic Logic Implementation of Low Power Inverter using Adiabatic Logic Pragati Upadhyay 1, Vishal Moyal 2 M.E. [VLSI Design], Dept. of ECE, SSGI SSTC (FET), Bhilai, Chhattisgarh, India 1 Associate Professor, Dept.

More information

Design and Analysis of Low Power Two Stage CMOS Op- Amp with 50nm Technology

Design and Analysis of Low Power Two Stage CMOS Op- Amp with 50nm Technology Design and Analysis of Low Power Two Stage CMOS Op- Amp with 50nm Technology Swetha Velicheti, Y. Sandhyarani, P.Praveen kumar, B.Umamaheshrao Assistant Professor, Dept. of ECE, SSCE, Srikakulam, A.P.,

More information

Sub-threshold Logic Circuit Design using Feedback Equalization

Sub-threshold Logic Circuit Design using Feedback Equalization Sub-threshold Logic Circuit esign using Feedback Equalization Mahmoud Zangeneh and Ajay Joshi Electrical and Computer Engineering epartment, Boston University, Boston, MA, USA {zangeneh, joshi}@bu.edu

More information

2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR

2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR 2-BIT COMPARATOR WITH 8-TRANSISTOR 1-BIT FULL ADDER WITH CAPACITOR C.CHANDAN KUMAR M.Tech-VLSI, Department of ECE, Sree vidyanikethan Engineering college A.Rangampet, Tirupati, India chennachandu123@gmail.com

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Microelectronics and Solid State Electronics 2013, 2(2): 24-28 DOI: 10.5923/j.msse.20130202.02 Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Keerti Kumar. K

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

IT HAS become well accepted that interconnect delay

IT HAS become well accepted that interconnect delay 442 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 4, DECEMBER 1999 Figures of Merit to Characterize the Importance of On-Chip Inductance Yehea I. Ismail, Eby G. Friedman,

More information

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 77-81 International Research Publication House http://www.irphouse.com Noise Tolerance Dynamic CMOS Logic

More information

Design of Adders with Less number of Transistor

Design of Adders with Less number of Transistor Design of Adders with Less number of Transistor Mohammed Azeem Gafoor 1 and Dr. A R Abdul Rajak 2 1 Master of Engineering(Microelectronics), Birla Institute of Technology and Science Pilani, Dubai Campus,

More information

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders B. Madhuri Dr.R. Prabhakar, M.Tech, Ph.D. bmadhusingh16@gmail.com rpr612@gmail.com M.Tech (VLSI&Embedded System Design) Vice

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.5.577 ISSN(Online) 2233-4866 Low and High Performance Level-up Shifters

More information

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com ISSN (ONLINE): 2395-695X POWER DELAY PRODUCT AND AREA REDUCTION OF

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

Design of Multiplier using Low Power CMOS Technology

Design of Multiplier using Low Power CMOS Technology Page 203 Design of Multiplier using Low Power CMOS Technology G.Nathiya 1 and M.Balasubramani 2 1 PG Student, Department of ECE, Vivekanandha College of Engineering for Women, India. Email: nathiya.mani94@gmail.com

More information

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. II (Nov -Dec. 2015), PP 06-15 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org High-Performance of Domino Logic

More information

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

A Novel Approach for High Speed and Low Power 4-Bit Multiplier IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 3 (Nov. - Dec. 2012), PP 13-26 A Novel Approach for High Speed and Low Power 4-Bit Multiplier

More information

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells Reza Faghih Mirzaee, Mohammad Hossein Moaiyeri, Keivan Navi Abstract In this paper we present two novel 1-bit full adder cells in dynamic logic

More information

Design of CMOS Based PLC Receiver

Design of CMOS Based PLC Receiver Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of CMOS Based

More information

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER Sandeep kumar 1, Charanjeet Singh 2 1,2 ECE Department, DCRUST Murthal, Haryana Abstract Performance of sense amplifier has considerable impact on the speed

More information

Design and Analysis of Hybrid Current/Voltage CMOS SRAM Sense Amplifier with Offset Cancellation Karishma Bajaj 1, Manjit Kaur 2, Gurmohan Singh 3 1

Design and Analysis of Hybrid Current/Voltage CMOS SRAM Sense Amplifier with Offset Cancellation Karishma Bajaj 1, Manjit Kaur 2, Gurmohan Singh 3 1 American International Journal of Research in Science, Technology, Engineering & Mathematics Available online at http://www.iasir.net ISSN (Print): 2328-3491, ISSN (Online): 2328-3580, ISSN (CD-ROM): 2328-3629

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits

Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 8, NO. 2, APRIL 2000 195 Effects of Inductance on the Propagation Delay Repeater Insertion in VLSI Circuits Yehea I. Ismail Eby G.

More information

LOW POWER HIGH PERFORMANCE DECODER USING SWITCH LOGIC S. HAMEEDA NOOR 1, T.VIJAYA NIRMALA 2, M.V.SUBBAIAH 3 S.SALEEM 4

LOW POWER HIGH PERFORMANCE DECODER USING SWITCH LOGIC S. HAMEEDA NOOR 1, T.VIJAYA NIRMALA 2, M.V.SUBBAIAH 3 S.SALEEM 4 RESEARCH ARTICLE OPEN ACCESS LOW POWER HIGH PERFORMANCE DECODER USING SWITCH LOGIC S. HAMEEDA NOOR 1, T.VIJAYA NIRMALA 2, M.V.SUBBAIAH 3 S.SALEEM 4 Abstract: This document introduces a switch design method

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

Design Of Level Shifter By Using Multi Supply Voltage

Design Of Level Shifter By Using Multi Supply Voltage Design Of Level Shifter By Using Multi Supply Voltage Sowmiya J. 1, Karthika P.S 2, Dr. S Uma Maheswari 3, Puvaneswari G 1M. E. Student, Dept. of Electronics and Communication Engineering, Coimbatore Institute

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information