Review of Different Sense Amplifiers For SRAM in 180nm Technology

Size: px
Start display at page:

Download "Review of Different Sense Amplifiers For SRAM in 180nm Technology"

Transcription

1 Review of Different Sense Amplifiers For SRAM in 180nm Technology Geeta Pattnaik, Sweta Padma Dash, Komal Priyadarshini, Adyasa Samantaray, Adyasha Rath Abstract A comparison between different sense amplifiers for SRAM are performed using 180nm technology.comparison is being made with respect to the sensing delay, power consumption & leakage power by varying the bit line, data line and load line capacitances and varying the supply voltage & temperature values. Effect of these design parameters on the different sense amplifiers has been analyzed. Comparisons are being carried out in Cadence gpdk 180nm technology. Index Terms VLSA, CLSA, Conventional, PBT, SFT, Clamped Bit line, Hybrid Current Sense Amplifiers I. INTRODUCTION SRAMs are used as cache memory hence it must perform at high speed for both read & write operations along with low power consumption. But memory and its peripheral circuits can adversely affect the overall speed and power of the system. Among all the peripherals of a SRAM memory, sense amplifier plays a major role.it is used to sense or read the data stored or written onto the selected memory bit. The performance of sense amplifiers [1] strongly affects both memory access time and overall power consumption. As the memory capacity is increasing according to the demand for large memory size it give rise to large bit line capacitance which in turn makes memory slower and more energy hungry. A sense amplifier plays the role of sensing the differential voltage generated on the bit line or bit line according to the data stored in the memory and accordingly convert the data stored on the bit line/bit line bar to full logic level 1 or 0 which can be read at the output stage. When a memory cell is being accessed for read operation current "IDATA" is produced which removes some of the stored charge (dq) from the precharged bit lines. Since the bit lines are very long, and are shared by other similar memory cells, the parasitic capacitance "CBL" & resistance "RBL" are also large. Hence, the bit line voltage swing (d VBL ) caused by the removal of "dq" from the bit line is very small d VBL = Q/CBL. Sense amplifier is thus used to convert this small voltage swing to a full logic signal. To improve the speed & overall performance of memory it is necessary to understand & analyze different types of sense amplifiers.according to the demand of situation appropriate sense amplifier must be used as every design has its own advantage & disadvantage. Sense amplifiers are classified on the basis of :- 1)Circuit Types -- differential and non differential 2)Operation Modes -- voltage, current and charge amplifiers. A differential sense amplifier distinguishes small signals from noise and starts signal detection faster when compared to non differential sense amplifier.even though differential sensing requires extra silicon area yet in most of the design the use of differential amplifier allows to combine very high packaging density with reasonable access time and low power consumption. The rest of the paper is organized as follows. Section 2 describes the different sense amplifiers, Section 3 describes the comparative study of different sense amplifiers & Section 4 describes the conclusion of this paper. II. LITERATURE SURVEY OF VARIOUS SENSE AMPLIFIERS Differential sense amplifier may be classified as: 1. Voltage sense amplifier 2. Current sense amplifier 3. Charge transfer sense amplifier (CTSA) The simplest voltage sense amplifier [2] is the differential couple. When a cell is being read, a small voltage swing appears on the bit line which is further amplified by differential couple and use to drive digital logic. However the bit line voltage swing is becoming smaller and is reaching the same magnitude as bit line noise, the voltage sense amplifier become unusable. The fundamental reason for applying current mode sense amplifier in sense circuit is their small input impedances. Benefits of small input and output impedances are reductions in sense circuit delays, voltage swings, cross-talking, substrate currents and substrate voltage modulations. The operation of the CTSA is based on the charge re distribution mechanism between very high bit line capacitance and low output capacitance of the sense amplifier. A differential charge transfer amplifier takes advantage of the increased bit-line capacitance and also offers a low-power operation without sacrificing the speed. A. Voltage sense amplifier The voltage sense amplifier can be classified as follows 1. Basic differential voltage amplifier. 1241

2 2. Simple differential voltage sense amplifier. 3. Full complementary differential voltage sense amplifiers 4. Positive feedback differential voltage sense amplifiers. 5. Full complementary positive feedback voltage sense amplifiers. There are many ways to enhance the performance of various voltage sense amplifiers by adding a few devices to the differential voltage sense amplifier. These ways are :- 1. Decoupling of bit lines from the sense amplifiers temporarily. 2. The input and outputs in feedback sense amplifiers can be separated. 3. Constant current source are applied to the source devices, 4. output signal amplitude can be optimized. Methods (1) & (2) tends to decrease the capacitive load of sense amplifier. In Method (3) the sense amplifier source resistance is virtually increased to achieve high gain, and by approach (4) amount of switched charges is decreased. Voltage Sense Amplifier 1) Conventional current mode sense amplifier The design of the sense amplifier [4] is based on the classic cross-coupled latch structure (M4-M7). An extra NMOS transistor (M8) is used for sense amplifier activation and transistors (Ml-M3) are used to equalize the bit line pair.. The sense amplifier operates in 2 phases: precharge & sensing and amplifying the sensed signal. During the precharge phase the EQ signal goes low and the bit-lines are precharged to VDD. During the sensing phase the EQ and EN signals are high which activates the cross-coupled structure and pulls the outputs to the appropriate full logic level. Conventional current mode sense amplifiers are suitable for realizing high speed and large size memories. Since large voltage swing on the bit-lines is not required this sense amplifier can be suitable for low voltage operation, However the performance of the sense amplifier depends strongly on C BL, because output node is loaded with bitline capacitance. The performance degrades at low voltage operation i.e., at supply voltages less than1.5v). A voltage sense amplifier [12-13] senses the differential voltage on the bit-lines and generates a full rail output. Fig. 1 shows the voltage sense amplifier. Fig. 1 Voltage Latch Sense Amplifier The design operates basing on the differential voltage developed on its internal nodes by the input bit lines. During the active mode when sense amplifier is triggered transistor M7 is off and pass transistors M1 and M4 are on. As the differential develops on the bit lines, it does so too on the internal nodes of the sense amplifier sol and sor. When the sense signal saenb is asserted, the cross-coupled inverters formed of M2-M5 and M3-M6 amplifies this differential voltage to its full-swing output. B. Current Sense Amplifier Current sense amplifiers can be broadly classified as: 1. Conventional current mode sense amplifier 2. Current latch sense amplifier 3. Clamped bit line sense amplifier 4. Simple 4T sense amplifier 5. PMOS bias type sense amplifier 6. Hybrid current sense amplifier Fig. 2 Conventional Current Mode Sense Amplifier 2) Current latch sense amplifier The operation of this sense amplifier[12-13] is based on the differential current produced by transistors M9 and M10 in the two pull down branches of the sense amplifier. At the activation of the read operation, either one of the bit line or bit line bar inputs is lowered depending on the data stored in the cell. When the sense amplifier is activated by pulling down the input saenb, transistor M11 turns on and the precharge transistors are simultaneously turned off. The channel 1242

3 current of M9 and M10 become unequal since their gate voltages differ by the generated bit line differential voltages. The current thus flowing in the two branches of the sense amplifier are unequal and the voltage at either out or outb falls faster than the other node. This difference in voltage is resolved by the cross-coupled inverters formed of M2, M6 and M3, M7. Fig. 3 Current Latch Sense Amplifier 3) Clamped bit line sense amplifier The circuit [5] is able to respond very rapidly, as the output nodes of the sense amplifier are no longer loaded with bit line capacitance. The input nodes of the sense amplifier are low impedance current sensitive nodes. Because of this the voltage swing of the highly capacitance bit lines change is very small.the improvement in the driving ability of output nodes due to positive feedback and the small difference can be detected and translated to full logic. It is almost insensitive to technology and temperature variations. The main limitation of this circuit is that the bit lines are pulled down considerably from their precharge state through the low impedance NMOS termination. This result in significant amount of energy consumption in charging and discharging the highly capacitive bit lines. Also, the presence of two NMOS transistors in series with the cross-coupled amplifier results in an increase in the speed of amplification. Fig. 4 Clamped Bit Line Sense Amplifier 4) Simple 4T current sense amplifier The simple four-transistor (SFT) [6] current mode sense amplifier is shown in Figure 5. This SA consists of only four equal-sized PMOS transistors. This configuration consumes lowest silicon area and is most promising solution for low power design. In many cases it can fit in the column pitch, avoiding the need for column select devices, thus reducing propagation delay. This type of sense amplifier presents a virtual short circuit across the bit lines therefore the potential of the bit lines will be independent of the current distribution. The sensing delay is unaffected by the bit line capacitance since no differential capacitor discharging is required to sense the cell data. Discharging current from the bit line capacitors, effectively precharge the sense amplifier. However the performance is strongly affected at lower voltage operation. At lower power supply SFT is more sensitive than the CBL. Fig. 5 Simple Four Transistor Sense Amplifier 1243

4 4) Modified PMOS bias type sense amplifier The PMOS bias type (PBT) [6] current mode sense amplifier is shown in Figure 6. The voltage swing on the bit-lines or the common data lines does not play an important role in obtaining the voltage swing in the sense amplifier output. Hence the current sense amplifier can operate with a very small bit-line voltage swing, which shortens the bit-line signal delay without pulsed bit-line equalization. According to conventional PBT sense amplifier in the sensing circuitry, a normally-on equalizer is used in the read cycle to make the bit-line voltage swing small enough to attain a fast bit-line signal transition. Omitting the pulsed bit-line equalization is also a power-saving factor. only one of the BLs and one of the DLs are discharged to lower levels than VDD while their complementary lines are kept at VDD. The new sense amplifier is insensitive to the difference between CDL and C DL. This feature helps it to cope with the increasing fluctuation of the parasitic capacitances during the layout and fabrication processes. The new design can operate in a wide supply voltage range, from 1.8 to 0.9 V with minimum performance degradation. Fig.6 Conventional PBT Sense Amplifier Fig. 7 shows the modified PBT sense amplifier.in the modified PBT sense amplifier,the bit line equalization transistors are eliminated i.e., transistors M12 M15 in the conventional PBT sense amplifier are removed which helps in reducing power consumption. Fig. 8 Hybrid Current Mode Sense Amplifier III. SIMULATIONS AND RESULTS A. SIMULATIONS Fig.9 VLSA Read Write Graph Fig. 7 Modified PBT Sense Amplifier 6) Hybrid current sense amplifier A hybrid current sense amplifier is shown in Figure 8. It introduces a completely different way of sizing the aspect ratio of the transistors on the data-path, hence realizing a current-voltage hybrid mode Sense Amplifier. It introduces a new read scheme that creatively combines the current and voltage-sensing schemes to maximize the utilization of I cell, hence offering a much better performance in terms of both sensing speed and power consumption. Since 1244

5 Fig. 10 CLSA Read Write Graph Fig.13 PBT Sense Amplifier Read Write Graph Fig. 11 Conventional Sense Amplifier Read Write Graph Fig.14 Hybrid Mode Sense Amplifier Read Write Graph B. COMPARISION TABLE Table 1 shows the comparision between the different sense amplifiers at VDD = 1.8v, temperature = 25 o C, C BL = C DL = 100fF and C L = 50fF TABLE 1-Comparision Between Different Sense Amplifiers TOPOLOGY POWER CONSUMPTION SENSING DELAY in (ns) in ( uw) WRITE READ PDP in pj VLSA CLSA CONVENTION-AL SA PBT SA SFT SA CLAMPED BITLINA SA HYBRID SA Fig.12 SFT Sense Amplifier Read Write Graph 1245

6 Fig. 15 PDP Graph Of The Sense Amplifiers IV. CONCLUSION The obvious advantage of VLSA over the CLSA is the requirement of lower number of transistors which means faster access and smaller footprint. But the challenge in using this topology has been the race condition for isolation signal that decouples the sense amplifier bit line from the array bit line. If the sense amplifier is enabled while M1 and M4 are on, the memory bit line (bit or bit bar) could be discharged to logic 0. In traditional designs, a different signal other than sen (isolate) is used to control M1 and M4 which makes it hard to match sense and isolate operation, but for our design we used the same signal that enable the sense amplifier to isolate the array bit lines. The PDP value of VLSA topology is better than CLSA but only due to the race condition problem seen and requirement of enough differential voltage on the bit line pair, we go for current mode sense amplifiers.the current mode sense amplifiers sense and operate accordingly basing upon the differential current generated on the bit line pair instead of differential voltage which results in reduction in power consumption. From the PDP results shown in table 1,we can conclude that hybrid mode sense amplifier is the best option for both high speed and low power sense amplifier. ACKNOWLEDGMENT The authors would like to thank the faculties of KIIT University for their continuous support and guidance. The authors would also like to thank KIIT University, Odisha for providing the necessary tools & software for carrying out the analysis and simulation of the paper. REFERENCES [1] E. Seevinck, P. van Beers, and H. Ontrop, Current-mode techniques for high-speed vlsi circuits with application to current sense amplifier for CMOS SRAM s, IEEE J. Solid-State Circuits, vol. 26, no. 4, pp , Apr [2] A. Hajimiri and R. Heald, Design Issues in Cross-Coupled Inverter Sense Amplifier. New York, 1998, pp [3]A.-T. Do, S. J. L. Yung, K. Zhi-Hui, K.-S. Yeo, and L. J. L. Yung, A full current-mode sense amplifier for low-power SRAM applications, in Proc. IEEE Asia Pacific Conf. on Circuits Syst., 2008, pp [4]Comparative study of different current mode sense amplifiers in submicron CMOS technology A. Chrysanthopoulos, Y. Moisiadis, Y. Tsiatouhas and A. Arapoyanni.,pp IEEE Proc.-Circuits Devices Syst., Vol. 149, No. 3, June [4]Uetake, T., Maki, Y., Nakadai, T., Yoshida, K., Susuki, M., And Nanjo, R.: A 1.0ns access 770MHz 36kb SRAM macro Symposium on VLSI Circuits: Digest of Technical Papers, [5]Blalock, T.N., And Jaeger, R.C.: A high-speed clamped bit-line current-mode sense amplifier, IEEE J. Solid-State Circuits, [6]Sasaki, K., Ishibashi, K., Ueda, K., Komiyaji, K., Yamanaka, T., Hashimoto, N., Toyoshima, H., Kojima, F., And Shimizu, A,: A 7-11s 140-mW I-Mb CMOS SRAM with current sense amplifier. ZEEE J. Solid-State Circuits, [7]Toumazou. C., Lidgey, Fj.J., And Haigh, D.G.: Analogue IC design: The current-mode approach (Peter Peregrinus Ltd., London, UK, April 1990) [8]Seki, T., Itoh, E., Furukawa, C., Maeno, I., Ozawa, T., Sano, H., And Suzuki, N.: A 6-11s 1-Mb CMOS SRAM with latched sense amplifier. IEEE J. Solid-State Circuits [9]Chun L. H and Mean Hom Ho, "High-Speed Sense Amplifier For Sram Applications", IEEEXplore, pp ,2004. [10]Baker Mohammad, Martin Saint-Laurent, Paul Bassett, and Jacob Abraham. Cache Design for Low Power and High Yield, IEEE International Symposium on Quality Electronic Design (ISQED),March 2008, pp , San Jose, CA, USA [11]Baker Mohammad, Jacob Abraham; A reduced Voltage Swing Circuit Using A single Supply to Enable Lower Voltage Operation for SRAMbased Memory; Microelectronics journal, Elsevier, December 2011 [12]N. Shibata, Current sense amplifiers for low-voltage memories, IEICE Trans. Electron, vol. 79, pp , Aug Ms. Geeta Pattnaik She is currently pursuing M-Tech in VLSI and Embedded system at KIIT University, Odisha.. She had completed her B.Tech from Seemanta Engineering College affiliated to Biju Pattnaik University and Technology in the year 2011 in the stream of Electronics & Telecommunication. Her area of interest is low power, high speed digital VLSI circuit design & mixed signal ICs.. Ms. Sweta Padma Dash. She is currently pursuing Master in VLSI and Embedded system at KIIT University, Odisha. She had completed B.Tech from Modern Institute of Technology and Management, affiliated to Biju pattnaik University and Technology in the year Her areas of interest include analog and mixed signal ICs. Ms. Komal Priyadarshini. She is currently pursuing Master in VLSI and Embedded system at KIIT University, Odisha. She had completed B.Tech from Konark Institute Of Science & Technology, affiliated to Biju pattnaik University and Technology in the year Her areas of interest include digital VLSI. 1246

7 Ms. Adyasa Samantaray She has received her B.Tech degree from Biju Pattnaik University of Technology in the year 2009 in Applied Electronics & Instrumentation Engineering. She is presently pursuing her M.Tech at KIIT University with specialization in VLSI & Embedded System. Her areas of interest include high speed, low power digital and analog design. Ms. Adyasha Rath. She is presently pursuing her M.Tech with specialization in VLSI & Embedded Systems under KIIT University. She has received her B.Tech degree from Biju Pattnaik University of Technology in Electronics & Communication Engineering in the year Her areas of interest include low power, high speed analog and mixed mode circuit design. 1247

Current Mode Sense Amplifiers Design in 0.25um CMOS Technology

Current Mode Sense Amplifiers Design in 0.25um CMOS Technology Current Mode Design in.5um CMOS Technology A. CHRISANTHOPOULOS 1, Y. MOISIADIS, Y. TSIATOUHAS 1, G. KAMOULAKOS 1 1 ISD S.A. K.Varnali Str., 15 33 Halandri, Athens GREECE University of Athens Department

More information

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM V. Karthikeyan 1 1 Department of ECE, SVSCE, Coimbatore, Tamilnadu, India, Karthick77keyan@gmail.com

More information

Design and Implementation of High Speed Sense Amplifier for Sram

Design and Implementation of High Speed Sense Amplifier for Sram American-Eurasian Journal of Scientific Research 12 (6): 320-326, 2017 ISSN 1818-6785 IDOSI Publications, 2017 DOI: 10.5829/idosi.aejsr.2017.320.326 Design and Implementation of High Speed Sense Amplifier

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER Sandeep kumar 1, Charanjeet Singh 2 1,2 ECE Department, DCRUST Murthal, Haryana Abstract Performance of sense amplifier has considerable impact on the speed

More information

Design and Analysis of Hybrid Current/Voltage CMOS SRAM Sense Amplifier with Offset Cancellation Karishma Bajaj 1, Manjit Kaur 2, Gurmohan Singh 3 1

Design and Analysis of Hybrid Current/Voltage CMOS SRAM Sense Amplifier with Offset Cancellation Karishma Bajaj 1, Manjit Kaur 2, Gurmohan Singh 3 1 American International Journal of Research in Science, Technology, Engineering & Mathematics Available online at http://www.iasir.net ISSN (Print): 2328-3491, ISSN (Online): 2328-3580, ISSN (CD-ROM): 2328-3629

More information

Design and performance evaluation of a low-power dataline SRAM sense amplifier

Design and performance evaluation of a low-power dataline SRAM sense amplifier Design and performance evaluation of a low-power dataline SRAM sense amplifier The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation

More information

Analysis and Design of a Low phase noise, low power, Wideband CMOS Voltage Controlled Ring Oscillator in 90 nm process

Analysis and Design of a Low phase noise, low power, Wideband CMOS Voltage Controlled Ring Oscillator in 90 nm process Analysis and Design of a Low phase noise, low power, Wideband CMOS Voltage Controlled Ring Oscillator in 90 nm process Sweta Padma Dash, Adyasha Rath, Geeta Pattnaik, Subhrajyoti Das, Anindita Dash Abstract

More information

FOR contemporary memories, array structures and periphery

FOR contemporary memories, array structures and periphery IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 2, FEBRUARY 2005 515 A Novel High-Speed Sense Amplifier for Bi-NOR Flash Memories Chiu-Chiao Chung, Hongchin Lin, Member, IEEE, and Yen-Tai Lin Abstract

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Low Power High Speed Differential Current Comparator

Low Power High Speed Differential Current Comparator Low Power High Speed Differential Current Comparator Indrani Roy, Suman Biswas, B. S. Patro 2 M.Tech (VLSI & ES) Student, School of Electronics, KIIT University, Bhubaneswar, India Ph.D Scholar, School

More information

A High Speed CMOS Current Comparator in 90 nm CMOS Process Technology

A High Speed CMOS Current Comparator in 90 nm CMOS Process Technology A High Speed CMOS Current Comparator in 90 nm CMOS Process Technology Adyasha Rath 1, Sushanta K. Mandal 2, Subhrajyoti Das 3, Sweta Padma Dash 4 1,3,4 M.Tech Student, School of Electronics Engineering,

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY Neha Bakawale Departmentof Electronics & Instrumentation Engineering, Shri G. S. Institute of

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Comparative Analysis of Compensation Techniques for improving PSRR of an OPAMP

Comparative Analysis of Compensation Techniques for improving PSRR of an OPAMP Comparative Analysis of Compensation Techniques for improving PSRR of an OPAMP 1 Pathak Jay, 2 Sanjay Kumar M.Tech VLSI and Embedded System Design, Department of School of Electronics, KIIT University,

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm Journal of Computer and Communications, 2015, 3, 164-168 Published Online November 2015 in SciRes. http://www.scirp.org/journal/jcc http://dx.doi.org/10.4236/jcc.2015.311026 Design and Implement of Low

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders B. Madhuri Dr.R. Prabhakar, M.Tech, Ph.D. bmadhusingh16@gmail.com rpr612@gmail.com M.Tech (VLSI&Embedded System Design) Vice

More information

Design of a high speed and low power Sense Amplifier

Design of a high speed and low power Sense Amplifier Design of a high speed and low power Sense Amplifier A dissertation submitted in partial fulfillment of the requirement for the award of degree of Master of Technology in VLSI Design & CAD Submitted by

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF HIGH RELIABLE 6T SRAM CELL V.Vivekanand*, P.Aditya, P.Pavan Kumar * Electronics and Communication

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

Analysis and Design of High Speed Low Power Comparator in ADC

Analysis and Design of High Speed Low Power Comparator in ADC Analysis and Design of High Speed Low Power Comparator in ADC Yogesh Kumar M. Tech DCRUST (Sonipat) ABSTRACT: The fast growing electronics industry is pushing towards high speed low power analog to digital

More information

A Three-Port Adiabatic Register File Suitable for Embedded Applications

A Three-Port Adiabatic Register File Suitable for Embedded Applications A Three-Port Adiabatic Register File Suitable for Embedded Applications Stephen Avery University of New South Wales s.avery@computer.org Marwan Jabri University of Sydney marwan@sedal.usyd.edu.au Abstract

More information

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates R Ravikumar Department of Micro and Nano Electronics, VIT University, Vellore, India ravi10ee052@hotmail.com

More information

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis

ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis ALPS: An Automatic Layouter for Pass-Transistor Cell Synthesis Yasuhiko Sasaki Central Research Laboratory Hitachi, Ltd. Kokubunji, Tokyo, 185, Japan Kunihito Rikino Hitachi Device Engineering Kokubunji,

More information

Yet, many signal processing systems require both digital and analog circuits. To enable

Yet, many signal processing systems require both digital and analog circuits. To enable Introduction Field-Programmable Gate Arrays (FPGAs) have been a superb solution for rapid and reliable prototyping of digital logic systems at low cost for more than twenty years. Yet, many signal processing

More information

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 77-81 International Research Publication House http://www.irphouse.com Noise Tolerance Dynamic CMOS Logic

More information

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER M. Taherzadeh-Sani, R. Lotfi, and O. Shoaei ABSTRACT A novel class-ab architecture for single-stage operational amplifiers is presented. The structure

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger International Journal of Scientific and Research Publications, Volume 5, Issue 2, February 2015 1 Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger Dr. A. Senthil Kumar *,I.Manju **,

More information

SRAM Read-Assist Scheme for Low Power High Performance Applications

SRAM Read-Assist Scheme for Low Power High Performance Applications SRAM Read-Assist Scheme for Low Power High Performance Applications Ali Valaee A Thesis In the Department of Electrical and Computer Engineering Presented in Partial Fulfillment of the Requirements for

More information

An Efficient Hybrid Voltage/Current mode Signaling Scheme for On-Chip Interconnects

An Efficient Hybrid Voltage/Current mode Signaling Scheme for On-Chip Interconnects An Efficient Hybrid Voltage/Current mode Signaling Scheme for On-Chip Interconnects M. Kavicharan, N.S. Murthy, and N. Bheema Rao Abstract Conventional voltage and current mode signaling schemes are unable

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2190 Biquad Infinite Impulse Response Filter Using High Efficiency Charge Recovery Logic K.Surya 1, K.Chinnusamy

More information

Ultra Low Power High Speed Comparator for Analog to Digital Converters

Ultra Low Power High Speed Comparator for Analog to Digital Converters Ultra Low Power High Speed Comparator for Analog to Digital Converters Suman Biswas Department Of Electronics Kiit University Bhubaneswar,Odisha Dr. J. K DAS Rajendra Prasad Abstract --Dynamic comparators

More information

Analysis and Design of High Speed Low Power Comparator in ADC

Analysis and Design of High Speed Low Power Comparator in ADC Analysis and Design of High Speed Low Power Comparator in ADC 1 Abhishek Rai, 2 B Ananda Venkatesan 1 M.Tech Scholar, 2 Assistant professor Dept. of ECE, SRM University, Chennai 1 Abhishekfan1791@gmail.com,

More information

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach RESEARCH ARTICLE OPEN ACCESS High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach M.Sahithi Priyanka 1, G.Manikanta 2, K.Bhaskar 3, A.Ganesh 4, V.Swetha 5 1. Student of Lendi

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

6-Bit Charge Scaling DAC and SAR ADC

6-Bit Charge Scaling DAC and SAR ADC 6-Bit Charge Scaling DAC and SAR ADC Meghana Kulkarni 1, Muttappa Shingadi 2, G.H. Kulkarni 3 Associate Professor, Department of PG Studies, VLSI Design and Embedded Systems, VTU, Belgavi, India 1. M.Tech.

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Design of a Capacitor-less Low Dropout Voltage Regulator

Design of a Capacitor-less Low Dropout Voltage Regulator Design of a Capacitor-less Low Dropout Voltage Regulator Sheenam Ahmed 1, Isha Baokar 2, R Sakthivel 3 1 Student, M.Tech VLSI, School of Electronics Engineering, VIT University, Vellore, Tamil Nadu, India

More information

UNIT-III GATE LEVEL DESIGN

UNIT-III GATE LEVEL DESIGN UNIT-III GATE LEVEL DESIGN LOGIC GATES AND OTHER COMPLEX GATES: Invert(nmos, cmos, Bicmos) NAND Gate(nmos, cmos, Bicmos) NOR Gate(nmos, cmos, Bicmos) The module (integrated circuit) is implemented in terms

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information

90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell

90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell 90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell Kouichi Kanda 1, Hattori Sadaaki 2, and Takayasu Sakurai 3 1 Fujitsu Laboratories Ltd. 2 KDDI corporation 3 Institute of Industrial Science,

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

Performance of Low Power SRAM Cells On SNM and Power Dissipation

Performance of Low Power SRAM Cells On SNM and Power Dissipation Performance of Low Power SRAM Cells On SNM and Power Dissipation Kanika Kaur 1, Anurag Arora 2 KIIT College of Engineering, Gurgaon, Haryana, INDIA Abstract: Over the years, power requirement reduction

More information

Low power 18T pass transistor logic ripple carry adder

Low power 18T pass transistor logic ripple carry adder LETTER IEICE Electronics Express, Vol.12, No.6, 1 12 Low power 18T pass transistor logic ripple carry adder Veeraiyah Thangasamy 1, Noor Ain Kamsani 1a), Mohd Nizar Hamidon 1, Shaiful Jahari Hashim 1,

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology

Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology Vipul Bhatnagar, Pradeep Kumar and Sujata Pandey Amity School of Engineering and Technology, Amity University Uttar Pradesh, Noida, INDIA

More information

High Speed CMOS Comparator Design with 5mV Resolution

High Speed CMOS Comparator Design with 5mV Resolution High Speed CMOS Comparator Design with 5mV Resolution Raghava Garipelly Assistant Professor, Dept. of ECE, Sree Chaitanya College of Engineering, Karimnagar, A.P, INDIA. Abstract: A high speed CMOS comparator

More information

Design of Low Power Double Tail Comparator by Adding Switching Transistors

Design of Low Power Double Tail Comparator by Adding Switching Transistors Design of Low Power Double Tail Comparator by Adding Switching Transistors K.Mathumathi (1), S.Selvarasu (2), T.Kowsalya (3) [1] PG Scholar[VLSI, Muthayammal Engineering College, Rasipuram, Namakkal, Tamilnadu,

More information

Comparative Analysis of Adiabatic Logic Techniques

Comparative Analysis of Adiabatic Logic Techniques Comparative Analysis of Adiabatic Logic Techniques Bhakti Patel Student, Department of Electronics and Telecommunication, Mumbai University Vile Parle (west), Mumbai, India ABSTRACT Power Consumption being

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IMPROVEMENT IN NOISE AND DELAY IN DOMINO CMOS LOGIC CIRCUIT Ankit Kumar*, Dr. A.K. Gautam * Student, M.Tech. (ECE), S.D. College

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2 Minimization of Leakage Current of 6T SRAM using Optimal Technology Sumit Kumar Srivastava 1, Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology, Uttar Pradesh Technical

More information

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier LETTER IEICE Electronics Express, Vol.11, No.6, 1 7 Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier S. Vijayakumar 1a) and Reeba Korah 2b) 1

More information

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology Research Paper American Journal of Engineering Research (AJER) e-issn : 2320-0847 p-issn : 2320-0936 Volume-3, Issue-9, pp-15-19 www.ajer.org Open Access Design of a Low Voltage low Power Double tail comparator

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Enhancement of Design Quality for an 8-bit ALU

Enhancement of Design Quality for an 8-bit ALU ABHIYANTRIKI An International Journal of Engineering & Technology (A Peer Reviewed & Indexed Journal) Vol. 3, No. 5 (May, 2016) http://www.aijet.in/ eissn: 2394-627X Enhancement of Design Quality for an

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

Electronics Basic CMOS digital circuits

Electronics Basic CMOS digital circuits Electronics Basic CMOS digital circuits Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED October 21, 2014 1 / 30 Introduction The topics covered today: The inverter: the simplest

More information

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits Research Journal of Applied Sciences, Engineering and Technology 5(10): 2991-2996, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: September 16, 2012 Accepted:

More information

A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES

A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES A HIGH EFFICIENCY CHARGE PUMP FOR LOW VOLTAGE DEVICES Aamna Anil 1 and Ravi Kumar Sharma 2 1 Department of Electronics and Communication Engineering Lovely Professional University, Jalandhar, Punjab, India

More information

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications

Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power Applications International Journal of Engineering Inventions e-issn: 2278-7461, p-issn: 2319-6491 Volume 3, Issue 11 (June 2014) PP: 1-7 Design of Low Voltage and High Speed Double-Tail Dynamic Comparator for Low Power

More information

Chapter 13: Introduction to Switched- Capacitor Circuits

Chapter 13: Introduction to Switched- Capacitor Circuits Chapter 13: Introduction to Switched- Capacitor Circuits 13.1 General Considerations 13.2 Sampling Switches 13.3 Switched-Capacitor Amplifiers 13.4 Switched-Capacitor Integrator 13.5 Switched-Capacitor

More information

Low-Power Clock Distribution Using a Current-Pulsed Clocked Flip-Flop

Low-Power Clock Distribution Using a Current-Pulsed Clocked Flip-Flop Low-Power Clock Distribution Using a Current-Pulsed Clocked Flip-Flop M.Shivaranjani 1 B.H. Leena 2 1) M. Shivaranjani, M.Tech (VLSI), Malla Reddy Engineering College, Hyderabad, India 2 B.H. Leena, Associate

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction Chapter 3 DESIGN OF ADIABATIC CIRCUIT 3.1 Introduction The details of the initial experimental work carried out to understand the energy recovery adiabatic principle are presented in this section. This

More information

Fast Low-Power Decoders for RAMs

Fast Low-Power Decoders for RAMs 1506 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 10, OCTOBER 2001 Fast Low-Power Decoders for RAMs Bharadwaj S. Amrutur and Mark A. Horowitz, Fellow, IEEE Abstract Decoder design involves choosing

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells Reza Faghih Mirzaee, Mohammad Hossein Moaiyeri, Keivan Navi Abstract In this paper we present two novel 1-bit full adder cells in dynamic logic

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 8, August ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 8, August ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 8, August-2013 1156 Novel Low Power Shrikant and M Pattar, High H V Ravish Speed Aradhya 8T Full Adder Abstract - Full adder

More information

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Kyung Ki Kim a) and Yong-Bin Kim b) Department of Electrical and Computer Engineering, Northeastern University, Boston, MA

More information

CHAPTER 3. Instrumentation Amplifier (IA) Background. 3.1 Introduction. 3.2 Instrumentation Amplifier Architecture and Configurations

CHAPTER 3. Instrumentation Amplifier (IA) Background. 3.1 Introduction. 3.2 Instrumentation Amplifier Architecture and Configurations CHAPTER 3 Instrumentation Amplifier (IA) Background 3.1 Introduction The IAs are key circuits in many sensor readout systems where, there is a need to amplify small differential signals in the presence

More information

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique

Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique Design of Low Power CMOS Startup Charge Pump Based on Body Biasing Technique Juliet Abraham 1, Dr. B. Paulchamy 2 1 PG Scholar, Hindusthan institute of Technology, coimbtore-32, India 2 Professor and HOD,

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES PSowmya #1, Pia Sarah George #2, Samyuktha T #3, Nikita Grover #4, Mrs Manurathi *1 # BTech,Electronics and Communication,Karunya

More information

Performance Analysis of High Speed Low Power Carry Look-Ahead Adder Using Different Logic Styles

Performance Analysis of High Speed Low Power Carry Look-Ahead Adder Using Different Logic Styles International Journal of Soft Computing and Engineering (IJSCE) ISSN: 2231-237, Volume-2, Issue-6, Jan- 213 Performance Analysis of High Speed Low Power Carry Look-Ahead Adder Using Different Logic Styles

More information

Self-timed Refreshing Approach for Dynamic Memories

Self-timed Refreshing Approach for Dynamic Memories Self-timed Refreshing Approach for Dynamic Memories Jabulani Nyathi and Jos6 G. Delgado-F'rias Department of Electrical Engineering State University of New York Binghamton, NY 13902-6000 Abstract Refreshing

More information

RECENTLY, low-voltage and low-power circuit design

RECENTLY, low-voltage and low-power circuit design IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 4, APRIL 2008 319 A Programmable 0.8-V 10-bit 60-MS/s 19.2-mW 0.13-m CMOS ADC Operating Down to 0.5 V Hee-Cheol Choi, Young-Ju

More information

Design of High-Speed Op-Amps for Signal Processing

Design of High-Speed Op-Amps for Signal Processing Design of High-Speed Op-Amps for Signal Processing R. Jacob (Jake) Baker, PhD, PE Professor and Chair Boise State University 1910 University Dr. Boise, ID 83725-2075 jbaker@ieee.org Abstract - As CMOS

More information

IMPLEMENTATION OF A LOW-KICKBACK-NOISE LATCHED COMPARATOR FOR HIGH-SPEED ANALOG-TO-DIGITAL DESIGNS IN 0.18

IMPLEMENTATION OF A LOW-KICKBACK-NOISE LATCHED COMPARATOR FOR HIGH-SPEED ANALOG-TO-DIGITAL DESIGNS IN 0.18 International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN 2249-684X Vol. 2 Issue 4 Dec - 2012 43-56 TJPRC Pvt. Ltd., IMPLEMENTATION OF A

More information

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS Neeta Pandey 1, Kirti Gupta 2, Stuti Gupta 1, Suman Kumari 1 1 Dept. of Electronics and Communication, Delhi Technological University, New Delhi (India) 2

More information

Charge recycling 8T SRAM design for low voltage robust operation

Charge recycling 8T SRAM design for low voltage robust operation Southern Illinois University Carbondale OpenSIUC Articles Department of Electrical and Computer Engineering Spring --0 Charge recycling T SRAM design for low voltage robust operation Xu Wang Shanghai Jiaotong

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information