O.13f-Lm 1.OV-l.5V Supply Digital Blocks for 40-Gb/s Optical Communication Systems

Size: px
Start display at page:

Download "O.13f-Lm 1.OV-l.5V Supply Digital Blocks for 40-Gb/s Optical Communication Systems"

Transcription

1 O.13f-Lm 1.OV-l.5V Supply Digital Blocks for 40-Gb/s Optical Communication Systems Bangli Liang, Tad Kwasniewski Department of Electronics Carleton University Ottawa, Ontario, Canada Zhigong Wang Department of Radio Engineering Southeast University Nanjing, Jiangsu, China Dianyong Chen, Bo Wang, Dezhong Cheng Department of Electronics Carleton University Ottawa, Ontario, Canada Abstract-Low supply digital blocks for OC-768/STM-256 optical communication systems such as 1:2 demultiplexer (DE MUX), 2:1 multiplexer (MUX), 2:1 frequency divider and data decision circuit in 0.13fLm CMOS are presented. All proposed blocks are based on fully differential MOS current-mode logic (CML). Multi-stage output buffers are used to drive the external son loads. On-chip shunt peaking (SP) inductors and split resistor (SR) loads are used to boost the bandwidth. High output resistance current sources are employed to achieve flat current source characteristic and allow the designed ICs to operate stably with wide process, voltage and temperature (PVT) variations. The main contribution of this work is that four proposed circuits can work at 40-Gb/s and beyond under a IV supply and consume low currents. I. INTRODUCTION Current serial data communication systems operate at bit rates between 10- and 40-Gb/s and communication ICs are mainly implemented in compound semiconductor processes. Several high-speed chips in standard CMOS technologies are reported in [1]-[9], which confirm CMOS to be a viable economical alternative for broadband circuit design. Blocks in optical communication systems such as CMOS MUXs, DE MUXs, data decision circuits and frequency dividers already achieve bitrates higher than 20-Gb/s or operating frequency higher than 20GHz [1 ]-[9]. In this work, a 50-Gb/s 1:2 DEMUX, a 50-Gb/s 2: 1 MUX, a 42-Gb/s decision circuit and a 43GHz 2: 1 frequency divider in 0.13j.Lm CMOS and some important circuit techniques are presented. II. CIRCUIT TECHNIQUES AND DEVICE CHARACTERISTIC The critical components in a 40-Gb/s transceiver are: the buffer amplifiers for the 20GHz clock and 40-Gb/s random bit stream, the 2: 1 MUX for serializing the 40-Gb/s bit stream, the full rate VCO and the clock divider operating at 40GHz. It is still very challengeable to implement digital blocks in low supply CMOS for 40-Gb/s transceiver. Since such a high speed is well beyond the reach of conventional CMOS designs, some circuit techniques such as inductive peaking, split resistor load must be introduced to achieve the bandwidth and timing required for transmitting a 40-Gb/s NRZ bit stream. A. Differential MOS CML Since differential signals provide sufficient noise margin even with reduced voltage swings, fully differential CML principle which decreases the internal voltage swing and therefore guarantees high operation frequencies is used for 40-Gb/s operation [10]. B. Split Poly-Silicon Resistor Load Poly-silicon resistors with SR topology (R 1 and R 2 are separated by output node) shown in Fig.1 are used as loads, which is the fastest non-enhanced amplifier. The advantages of this amplifier can be seen that: Unsilicided poly is a pretty efficient current provider (i.e., has a good current to capacitance ratio); Output swing can go all the way up to Vdd; Allows following stage to achieve high it; Linear settling behavior (in contrast to NMOS load). On the other hand, the bandwidth and gain limitations can be estimated below: c'-r1 You! Vdd I Vdd did 2Id gm == - == (1) dv gs Vcs - VT A v == gmrl == 2IdRl _ 2VR 1 (2). Vcs - VT Vcs - VT 1 i -3dB == (3) 27rR 1 C tot Ctot = Cdb, + C;, + C gs2 + KC OV2 + Cjixed (4) Where, gm is the transconductance of the transistor; A v is the DC gain; f -3dB is the -3dB bandwidth; C tot is the total capacitance at the output node; K is Miller multiplication factor which can be adjusted by optimizing the ratio of R 1 /R 2 for given constant load resistance R == R 1 + R 2. Based on above equations, poly-silicon resistors with SR topology can Fig. 1. Common source amplifiers with Split resistor, Shunt peaking, and Split resistor, shunt peaking and series peaking /08/$ IEEE 1255

2 1500, ,-,-,,-==_=_----, ,, L=128pH 'I'... L=210pH...::: ;;::...:...tt To l._; 10 :::::::::. ':;'-:;'r "::::: ,5 E_5,0 11RVT'NMOS C;;-rrent S;rce I 2 LVT NMOS Current Source 3Cascode Current Source 4 Stacked Current Source Fig. 2. Thick metal inductor. Q vs. frequency. L vs. frequency. Fig. 3. Current sources. Schematic. ID vs. VDS' be used as low capacitive loads to reduce parasite capacitances and Miller Effect further, which is a compromise between high internal signal swing (DC gain) and small RC time constant. It is important for high speed digital circuits to introduce such an additional design parameter, the ratio of R 1 IR 2. C. Shunt and Series Peaking Inductors The maximum speed of the flip-flop is limited mainly by the parasitic capacitances of the transistors and the layout. Therefore, spiral inductors are connected in series with the load resistors as shown in Fig.l. This inductive peaking enhances the operating bandwidth without deteriorating the low-frequency response. Although on-chip inductors have low factors due to the limited conductivity of the metal, substrate loss, and parasitic capacitance, a high quality factor Q of the spiral inductors is not required because the effective Q is determined by the poly-silicon load resistors that are connected in series to the inductors. However, it is important that the inductors have high self-resonant frequency. This is achieved by using only the two topmost metal layers to reduce capacitance to the substrate. Spacing between adjacent turns of the inductor is made wider than required by the design rules to reduce tum-to-turn capacitance. As shown in Fig.2, the used inductors have both sufficiently high self-resonant frequency and high quality factor Q at operating frequency, which is a guarantee for high speed operation. Both spiral inductors and bonding wire inductors are often used as shunt peaking inductors. However, additional pads and poor accuracy control for bond inductors is hardly acceptable for the required chip area and integration density. For 40-Gb/s operation, it makes sense to use inductive peaking inductors only at the fastest part of the system. Shunt peaking can improve the bandwidth by approximately 500/0, assuming the use of on-chip inductors. Another technique to enhance the bandwidth of CML circuits is series peaking. An inductance is connected in series to the output of the CML circuit as shown in Fig.l. The output network acts as a filter which consists of various parasitic capacitances, load resistors, bond inductances, and on-chip inductors. Series peaking can additionally improve the bandwidth by approximately 45% when combined with shunt peaking. Series peaking makes sense if it is used in combination with shunt peaking. If only series peaking is used, then the enhancement in bandwidth is low. Using series peaking and shunt peaking can nearly double the bandwidth of a CML circuit. However, series peaking is seldom used in compact chips for low cost applications. D. Stacked Current Source In Fig.3, the proposed current source 4 consists of two stacked NMOS transistors. The upper transistor is a low-vi (LVT) device (Vi 260mV) and the bottom is a regular Vi (RVT) (Vi 350mV) device, and they are connected in series. This configuration increases the output resistance of the current source. Ignoring the body effect, the output resistance of the stacked current source approximately is: Rout == ro + ro (1 + gmro) == 2ro + grnr gmr (5) Where, r 0 is output resistance of LVT and RVT devices, assuming that they have the same output resistance. This results in a flat current source characteristic as shown in Fig.3. Even though the stacked current source is just a little bit better than the conventional cascode current source, the number of used transistors is reduced and no additional DC bias is required. The main disadvantage of stacked current sources is higher operating voltage to keep the devices in saturation. However, the minimum operating voltages of four current sources are almost same as 250mV based on Fig.3. In addition, stacked LVT and RVT NMOS transistors with a channel length of 180nm are used as current source to reduce short channel effects and geometric mismatches. Both latches and buffers in this design use stacked current sources to achieve excellent immunity against PVT variations. E. Device Aspect Ratio Optimization For high speed switch circuits, there is a basic trade-off between high input sensitivity and high switch speed limited by output capacitance and outside loading capacitance. Transistors in data path of latches have much smaller width than that of transistors in clock path. Larger clock devices are used to increase the input sensitivity while the relatively larger input capacitances are partly canceled by input matching network. LVT NMOS devices are used in high frequency parts, because of their higher speed compared to RVT NMOS transistors under same gate-source voltage Vcs as shown in Fig.4. As to DEMUX, decision circuit and frequency divider, the crosscoupled transistor pair used in the latch is one of the largest 1256

3 N I c V Gs ( mv) 1500 N I ::: 50.,..."..." oj V Ds=1.5V o V Ds=1.2V * V Ds=09V IV VQ=05V V Gs ( mv) 1500 Fig. 5. Broadband output buffers. For DEMUX. For decision circuit. For frequency divider. Fig. 4. it VS. VCS curves of 0.13j.Lrn NMOS. (2j.Lrnx IO)/O.12j.Lrn LVT and RVT devices. (5j.Lrnx IO)/0.12j.Lrn LVT device under various VDs. contributors to the output capacitance. In other words, smaller size in hold branch than that in sampling branches would help to reduce parasitic capacitance further. However, the device size in hold branch should be large enough to maintain a particular logic state at the appropriate clock phase and to avoid large duty cycle distortion. For MUX, there is no crosscoupled transistor pair in the latch, so the devices in data path should have the same size. Finally, the optimized aspect ratio of W Data/WClock are achieved as: TVData/WClock=3/5 for DEMUX to offer enough voltage gain for 20-Gb/s output signal; 1VData/TVClock=1/3 for MUX to provide an intermediate gain and sufficient bandwidth for 40-Gb/s serialized data stream; W Data/TVClock=2/15 for frequency divider and decision circuit to increase the input sensitivity for 40GHz input clock. F. Broadband Input Matching On-chip broadband input matching for clock path is realized with low resistance (50n to 250n) poly-silicon resistors (some buffers also employ low inductance inductors to cancel large input capacitances in clock path) shown in Fig.6, which also acts as a DC level shifter and ESD protection to allow accoupling of the clock input. This input DC level was optimized for fast switching. The used DC level shifters provide a DC level of 0.5Vdd for MUX, DEMUX, decision circuit but a 0.6Vdd for clock divider. G. Multiple-Stage Output Buffer Limited bandwidth is a big challenge for high speed buffer design, which can be relaxed by the inductor-peaking network. Large input/output swing requirement is another challenge in designing such a buffer. The required large voltage swing causes the input transistor pair to operate partially in the triode region, introducing non-linearity. Therefore, the waveforms on the two output nodes become asymmetric. The frequency response for both the rising-step output and the falling-step output had to be optimized. This problem can be partly relieved by optimizing the ratio of R 1 / R 2 in split resistor topology mentioned above. The proposed buffers in Fig.5 consist of multiple-stage differential amplifiers in series which are not for voltage gain, but are required for driving the external 50n load. In each stage the tail current is twice the current of the previous stage. The first stage offers a high-voltage swing, which drives the second stage. For Fig.5 and, the second stage works as a limiting amplifier to provides appropriate amplitude, proper common-mode level. The last differential amplifier is designed to provide enough driving capability and good matching to external 50n loads. H. it Maxinlization and DC Bias Optilnization Peak it values have been stuck at around 100GHz for the most advanced Si technologies. In fact, a 100GHz it is not enough for 40-Gb/s digital circuits with a supply of 1.2V and below because there is no device in latches operating under Vcs > O.6V and VDS > O.8V. From Fig.4, it is necessary to keep Vcs 2: O.45V and VDS 2: O.25V for devices in data and clock paths so as to maximize the effective it. From Fig.4, using LVT devices in both data and clock paths would be much better than employing RVT transistors for low supply (1 V to 1.5V)operations. Therefore, the common mode DC level of data and clock transistor pairs should be optimized by corresponding requirements. In this design, Vcs O.5V and VDS O.5V is chosen for devices in data path to achieve enough transconductance, Vcs O.5V and V DS O.25V is selected for clock pair to achieve enough switching speed and to save voltage headroom for other transistors, Vcs=O.45V O.8V and VDs=O.25V-O.8V are adopted for differential pairs in output buffers. I. Layout Techniques Since a differential design is applied, the layout is devised to be maximally symmetrical to keep the circuit as balance as possible for high immunity against common-mode disturbances. Furthermore, all interconnects are kept as short as possible. Especially the lines between slave outputs and master inputs of clock divider are affecting the maximum operation frequency, because of their propagation delay and capacitive load. Input signal pads and output signal pads are placed perpendicularly to minimize possible crosstalk. Data path and clock path are separated as far as possible to lower possible interference. Additionally, "SGS" and "PSGSP" pad patterns are used for high symmetry, low disturbance and easy on-chip test, where "P", "G", "S" represent "power supply", "ground" and "signal" terminals, respectively. The layout of the proposed DEMUX is shown in Fig.7 as an example to illustrate the layout tricks mentioned above. 1257

4 Iv1 r;plrl ct ----"'1! g Fig. 7. Layout of 1:2 DEMUX. Fig. 6. Proposed circuits. Latch in DEMUX. Decision circuit core. MUX with a buffer. (d) Frequency divider core. (d) III. PROPOSED DIGITAL BLOCKS In this section, four key building blocks in a 0.13/-Lm CMOS process are described. Since such a high speed is well beyond the reach of conventional CMOS designs, circuit techniques discussed in Section II are adopted to achieve the bandwidth and timing required for transmitting a 40-Gb/s NRZ bit stream. The schematic of proposed circuits are shown Fig.6. The 1:2 DEMUX consists of two MS-FFs and output buffers. Each MS-FF includes two latches connected in series. When a 40-Gb/s data stream is applied, the MS-FFs are clocked at 20GHz. To sample every bit of the 40-Gb/s input data, the clock of one MS-FF is in phase while the other one is inverted. A separate buffer for each output decouples the MS FFs from the 50-f2 environment. All transistors in the core are LVT 120nm NMOS devices for low supply (1.2V or less) operation. The latches showed in Fig.6 use series gating between clock and data inputs. Poly-silicon resistors are used as loads which is a compromise between high voltage swing and reasonable RC time constant. Clock input matching is realized with 50n on-chip resistors, which are connected to a DC level shifter (Vdd/2). The tail current of all latches is set to 7mA. The MUX in Fig.6 uses low resistance poly-silicon resistors with SP inductors in series as loads to achieve enough bandwidth. The tail current of all latches is set to 10mA. Due to the small load resistors and large tail current, only a single-stage output buffer is employed. To achieve sufficient voltage gain, both devices in data path and the differential pair of buffer amplifier use large size. The adopted 10mA tail current and SP inductors will compensate the excessive parasitic capacitance. The decision circuit consists of a MS-FF in Fig.6 and a 3-stage output buffer in Fig.5. The MS-FF is clocked at 40 GHz. The tail current of both latches is set to 6mA. To achieve full voltage swing, at least 20-GHz bandwidth is needed for the latches and output buffer. To enhance the bandwidth, SP and SR are implemented using on-chip spiral inductors and polysilicon resistors with an optimal resistance ratio of R 1 / R 2 == 3/2, respectively. The internal dividing function of this divider is based on a MS-FF by connecting the inverted slave outputs to the master inputs. The tail current of both latches is set to 7mA. To achieve very high operating frequency and low clock jitter, SR with a ratio of R 1 / R 2 == 1/1 as shown in Fig.6(d) is used and SP are implemented using on-chip spiral inductors with high Q-value as shown in Fig.2. Conventional static divider topology rather than power-economical resonance techniques is used here to achieve wider frequency range and better immunity against PYT fluctuations. IV. CIRCUIT SIMULATIONS To verify the proposed digital blocks, circuit simulations are carried out using the simulator, Cadence Spectre, and BSIM4 model based on IBM 0.13/-Lm CMOS technology. Differential pseudo-random bit sequences (PRBS) of is used as data signal and differential sinusoidal waveform is employed as clock signal for transient analyses. Fig.8 shows the simulated eye-diagrams of the 20-Gb/s differential output signal from the DEMUX. Based on simulated data, the used SP inductors along with the output buffers greatly boosted the bandwidth, which resulted in an effective signal amplitude from up to 2 x 400mV pp and a peak to peak (PP) jitter of 7.2ps. Post-layout simulations are done for higher data rates and PVT (process, voltage and temperature) variations, respectively and it turned out that the proposed DEMUX can operate up to 50-Gb/s under a supply voltage of 1.2V and can operate well at 40-Gb/s under various PVT conditions. As illustrated in Fig.8, the MUX can achieve a bit rate of 40Gb/s with an output amplitude of 2 x 500mV pp and a PP jitter of 3.8ps. Fig.8 shows the 40-Gb/s output eye-diagrams of the data decision circuit. The effective signal amplitude can go up to 2 x 550mV pp and the peak-to-peak (PP) jitter can be reduced 1.7ps simultaneously using SP, SR bandwidth 1258

5 .. : FF 1.5V -55DEG TABLE II PERFORMANCE COMPARISON OF CMOS MUX Reference Bitrate Ratio Ptotal Supply Technology (Gb/s) (mw) (V) CMOS [1] 40 4: nm [2] 40 2 : nm This work 50 2 : J.Lm > > j 0.8 Ql 0.4 ::J 0.0 * *', ** \.. I..... *,I' '** ",./:' **.- V3 ** ' l' I j* /' : -. -V2" I\. *-V TABLE III PERFORMANCE COMPARISON OF CMOS DECISION CIRCUIT Reference Bitrate Platch Ptotal Supply Technology (Gb/s) (mw) (mw) (V) CMOS [4] nm This work O.l3J.Lm TABLE IV PERFORMANCE COMPARISON OF CMOS FREQUENCY DIVIDER Fig. 8. Simulated data. DEMUX 20-Gb/s output. MUX 40 Gb/s output. Decision circuits 40-Gb/s output. (d) Input sensitivity of frequency dividers. VI-Conventional topology. V2-With SP inductors. V3 With SP inductors and SR load resistors. TABLE I (d) PERFORMANCE COMPARISON OF CMOS DEMUX Reference Bitrate Ratio Ptotal Supply Technology (Gb/s) (mw) (V) CMOS [1] 40 1: nm [2] 40 1 : nm This work 50 1 : J.Lm boosting techniques and the output buffer in Fig.5. The resistance ratio R 1 / R 2 of the used SR loads was optimized. Post-layout simulations are done for higher data rates and PVT variations. The proposed decision circuit can operate up to 42 Gb/s under supply voltages from 1.0V to 1.5V. Simulated input sensitivity curves of three frequency divider topologies operating under 1.2V supply are given in Fig.8(d). The frequency divider (V3) with SP and SR can operate up to 44 GHz, which confirms that the elnployed on-chip spiral SP inductors and SR loads can improve the operating speed of CML circuits up to 50%. Simulation summary and the comparison to previous works are given in TABLE I-IV. According to the simulation and post-layout simulation data, the designed circuits can operate at 40-Gb/s and beyond under lower supply and consume lesser power. It can be seen that the employed stacked current sources offer very good immunity to PVT fluctuations and the circuit bandwidth has been greatly improved by the used on-chip inductors. V. CONCLUSION Low supply ICs in 0.13JLm CMOS for OC-768 communication systems are proposed and verified. All blocks use fully balanced CML topologies for 40G/s operation. SP coils and SR loads are the major contributors of circuit bandwidth extension, which is confirmed by simulation data. Stacked Reference Supply frnax 7N Power Technology (V) (GHz) (mw) CMOS [5] nm [6] J.Lm [7] O.l3J.Lm [8] nm [9] J.Lm This work O.l3J.Lm current sources are used to ensure that the designed circuits can operate stably in a wide supply range(1v-i.5v) and have high manufactureability. Compared with previously reported circuits, all the proposed circuits can work well under a lower supply (I V). REFERENCES [1] K. Kanda and et al. "40Gb/s 4: 1 MUX/l:4 DEMUX in 90nm Standard CMOS". In IEEE Int. Solid State Circuits Conf Dig. Tech. Papers, pages , February [2] D. Kehrer, H.-D. Wohlmuth, H. Knapp, M. Wurzer, and A. L. Scholtz. "40Gb/s 2: 1 Multiplexer and 1:2 Demultiplexer in 120-nm Standard CMOS". In IEEE J. Solid-State Circuits, 38(11): , [3] A. Rylyakov, S. Rylov, H. Ainspan, and S. Gowda. "A 30Gb/s 1:4 Demultiplexer in O.l2J.Lm CMOS". In IEEE Int. Solid State Circuits Conf Dig. Tech. Papers, pages , February [4] T. Chalvatzis, K. H. K. Yau, P. Schvan, M. T. Yang, and S. P. Voinigescu. "A 40Gb/s Decision Circuit in 90-nm CMOS". In Pmc. Eur. Solid State Circuits Conf, pages , September [5] G. von BUren, C. Kromer, F. Ellinger, A. Huber, M. Schmatz, and H. Jackel. "A Combined Dynamic and Static Frequency Divider for a 40GHz PLL in 80nm CMOS". In IEEE Int. Solid State Circuits Conf Dig. Tech. Papers, pages , February [6] J. Lee and B. Razavi. "A 40GHz Frequency Divider in 0.18J.Lm CMOS Technology". In IEEE J. Solid-State Circuits, 39(4): , [7] U. Singh and M. M. Green. "High-Frequency CML Clock Dividers in 0.13J.Lm CMOS Operating Up to 38GHz". In IEEE J. Solid-State Circuits, 40(8): ,2005. [8] H.-D. Wohlmuth and D. Kehrer. "A High Sensitivity Static 2: 1 Frequency Divider Up to 27 GHz in 120 nm CMOS". In Proc. Eur. Solid State Circuits Conf, pages , September [9] H. Knapp, H.-D. Wohlmuth, M. Wurzer, and M. Rest. "25GHz Static Frequency Divider and 25Gb/s Multiplexer in O.l2J.Lm CMOS". In IEEE Int. Solid State Circuits Conf Dig. Tech. Papers, pages , February [10] M. M. Green and U. Singh. "Design of CMOS CML Circuits for High Speed Broadband Communications". In Proc. IEEE Int. Symp. Circuits and Systems" volume II, pages , May

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

A 19-GHz Broadband Amplifier Using a g m -Boosted Cascode in 0.18-μm CMOS

A 19-GHz Broadband Amplifier Using a g m -Boosted Cascode in 0.18-μm CMOS A 19-GHz Broadband Amplifier Using a g m -Boosted Cascode in 0.18-μm CMOS Masum Hossain & Anthony Chan Carusone Electrical & Computer Engineering University of Toronto Outline Applications g m -Boosting

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

6.976 High Speed Communication Circuits and Systems Lecture 5 High Speed, Broadband Amplifiers

6.976 High Speed Communication Circuits and Systems Lecture 5 High Speed, Broadband Amplifiers 6.976 High Speed Communication Circuits and Systems Lecture 5 High Speed, Broadband Amplifiers Michael Perrott Massachusetts Institute of Technology Copyright 2003 by Michael H. Perrott Broadband Communication

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers 6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers Massachusetts Institute of Technology February 17, 2005 Copyright 2005

More information

6.776 High Speed Communication Circuits Lecture 7 High Freqeuncy, Broadband Amplifiers

6.776 High Speed Communication Circuits Lecture 7 High Freqeuncy, Broadband Amplifiers 6.776 High Speed Communication Circuits Lecture 7 High Freqeuncy, Broadband Amplifiers Massachusetts Institute of Technology February 24, 2005 Copyright 2005 by Hae-Seung Lee and Michael H. Perrott High

More information

Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell

Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell 1 Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell Yee-Huan Ng, Po-Chia Lai, and Jia Ruan Abstract This paper presents a GPS receiver front end design that is based on the single-stage quadrature

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8 26.8 A 2GHz CMOS Variable-Gain Amplifier with 50dB Linear-in-Magnitude Controlled Gain Range for 10GBase-LX4 Ethernet Chia-Hsin Wu, Chang-Shun Liu,

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

Design of a Folded Cascode Operational Amplifier in a 1.2 Micron Silicon-Carbide CMOS Process

Design of a Folded Cascode Operational Amplifier in a 1.2 Micron Silicon-Carbide CMOS Process University of Arkansas, Fayetteville ScholarWorks@UARK Electrical Engineering Undergraduate Honors Theses Electrical Engineering 5-2017 Design of a Folded Cascode Operational Amplifier in a 1.2 Micron

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Design technique of broadband CMOS LNA for DC 11 GHz SDR

Design technique of broadband CMOS LNA for DC 11 GHz SDR Design technique of broadband CMOS LNA for DC 11 GHz SDR Anh Tuan Phan a) and Ronan Farrell Institute of Microelectronics and Wireless Systems, National University of Ireland Maynooth, Maynooth,Co. Kildare,

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6 26.6 40Gb/s Amplifier and ESD Protection Circuit in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi University of California, Los Angeles, CA Optical

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

THE continuous growth of broadband data communications

THE continuous growth of broadband data communications 1004 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 5, MAY 2006 High-Speed Circuit Designs for Transmitters in Broadband Data Links Jri Lee, Member, IEEE Abstract Various high-speed techniques including

More information

Operational Amplifiers

Operational Amplifiers CHAPTER 9 Operational Amplifiers Analog IC Analysis and Design 9- Chih-Cheng Hsieh Outline. General Consideration. One-Stage Op Amps / Two-Stage Op Amps 3. Gain Boosting 4. Common-Mode Feedback 5. Input

More information

Basic Circuits. Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair,

Basic Circuits. Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair, Basic Circuits Current Mirror, Gain stage, Source Follower, Cascode, Differential Pair, CCS - Basic Circuits P. Fischer, ZITI, Uni Heidelberg, Seite 1 Reminder: Effect of Transistor Sizes Very crude classification:

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 19: High-Speed Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 3 is on Friday Dec 5 Focus

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Low voltage topologies for 40-Gb/s circuits in nanoscale CMOS

Low voltage topologies for 40-Gb/s circuits in nanoscale CMOS IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. XX, NO. XX, MONTH YEAR 1 Low voltage topologies for 40-Gb/s circuits in nanoscale CMOS Theodoros Chalvatzis, Student Member, IEEE, Kenneth H. K. Yau, Student

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 10.8 10Gb/s Limiting Amplifier and Laser/Modulator Driver in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

A GHz Quadrature ring oscillator for optical receivers van der Tang, J.D.; Kasperkovitz, D.; van Roermund, A.H.M.

A GHz Quadrature ring oscillator for optical receivers van der Tang, J.D.; Kasperkovitz, D.; van Roermund, A.H.M. A 9.8-11.5-GHz Quadrature ring oscillator for optical receivers van der Tang, J.D.; Kasperkovitz, D.; van Roermund, A.H.M. Published in: IEEE Journal of Solid-State Circuits DOI: 10.1109/4.987097 Published:

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation 2017 International Conference on Electronic, Control, Automation and Mechanical Engineering (ECAME 2017) ISBN: 978-1-60595-523-0 A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

University of Michigan, EECS413 Final project. A High Speed Operational Amplifier. 1. A High Speed Operational Amplifier

University of Michigan, EECS413 Final project. A High Speed Operational Amplifier. 1. A High Speed Operational Amplifier University of Michigan, EECS413 Final project. A High Speed Operational Amplifier. 1 A High Speed Operational Amplifier A. Halim El-Saadi, Mohammed El-Tanani, University of Michigan Abstract This paper

More information

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS -3GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS Hyohyun Nam and Jung-Dong Park a Division of Electronics and Electrical Engineering, Dongguk University, Seoul E-mail

More information

A 2.4 GHZ RECEIVER IN SILICON-ON-SAPPHIRE MICHAEL PETERS. B.S., Kansas State University, 2009 A REPORT

A 2.4 GHZ RECEIVER IN SILICON-ON-SAPPHIRE MICHAEL PETERS. B.S., Kansas State University, 2009 A REPORT A 2.4 GHZ RECEIVER IN SILICON-ON-SAPPHIRE by MICHAEL PETERS B.S., Kansas State University, 2009 A REPORT submitted in partial fulfillment of the requirements for the degree MASTER OF SCIENCE Department

More information

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 93 CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 4.1 INTRODUCTION Ultra Wide Band (UWB) system is capable of transmitting data over a wide spectrum of frequency bands with low power and high data

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

Analysis and design of a V-band low-noise amplifier in 90 nm CMOS for 60 GHz applications

Analysis and design of a V-band low-noise amplifier in 90 nm CMOS for 60 GHz applications LETTER IEICE Electronics Express, Vol.12, No.1, 1 10 Analysis and design of a V-band low-noise amplifier in 90 nm CMOS for 60 GHz applications Zhenxing Yu 1a), Jun Feng 1, Yu Guo 2, and Zhiqun Li 1 1 Institute

More information

Class-AB Low-Voltage CMOS Unity-Gain Buffers

Class-AB Low-Voltage CMOS Unity-Gain Buffers Class-AB Low-Voltage CMOS Unity-Gain Buffers Mariano Jimenez, Antonio Torralba, Ramón G. Carvajal and J. Ramírez-Angulo Abstract Class-AB circuits, which are able to deal with currents several orders of

More information

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 01, 2014 ISSN (online): 2321-0613 A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power

More information

Low Power, Wide Bandwidth Phase Locked Loop Design

Low Power, Wide Bandwidth Phase Locked Loop Design Low Power, Wide Bandwidth Phase Locked Loop Design Hariprasath Venkatram and Taehwan Oh Abstract A low power wide bandwidth phase locked loop is presented in the paper. The phase frequency detector, charge

More information

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER M. Taherzadeh-Sani, R. Lotfi, and O. Shoaei ABSTRACT A novel class-ab architecture for single-stage operational amplifiers is presented. The structure

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

ECEN 474/704 Lab 6: Differential Pairs

ECEN 474/704 Lab 6: Differential Pairs ECEN 474/704 Lab 6: Differential Pairs Objective Design, simulate and layout various differential pairs used in different types of differential amplifiers such as operational transconductance amplifiers

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

2.Circuits Design 2.1 Proposed balun LNA topology

2.Circuits Design 2.1 Proposed balun LNA topology 3rd International Conference on Multimedia Technology(ICMT 013) Design of 500MHz Wideband RF Front-end Zhengqing Liu, Zhiqun Li + Institute of RF- & OE-ICs, Southeast University, Nanjing, 10096; School

More information

Design and Analysis of High Gain Differential Amplifier Using Various Topologies

Design and Analysis of High Gain Differential Amplifier Using Various Topologies Design and Analysis of High Gain Amplifier Using Various Topologies SAMARLA.SHILPA 1, J SRILATHA 2 1Assistant Professor, Dept of Electronics and Communication Engineering, NNRG, Ghatkesar, Hyderabad, India.

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Research on Self-biased PLL Technique for High Speed SERDES Chips

Research on Self-biased PLL Technique for High Speed SERDES Chips 3rd International Conference on Machinery, Materials and Information Technology Applications (ICMMITA 2015) Research on Self-biased PLL Technique for High Speed SERDES Chips Meidong Lin a, Zhiping Wen

More information

Design Methodology and Applications of SiGe BiCMOS Cascode Opamps with up to 37-GHz Unity Gain Bandwidth

Design Methodology and Applications of SiGe BiCMOS Cascode Opamps with up to 37-GHz Unity Gain Bandwidth Design Methodology and Applications of SiGe BiCMOS Cascode Opamps with up to 37-GHz Unity Gain Bandwidth S.P. Voinigescu, R. Beerkens*, T.O. Dickson, and T. Chalvatzis University of Toronto *STMicroelectronics,

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter University of Pennsylvania ScholarlyCommons epartmental Papers (ESE) epartment of Electrical & Systems Engineering 7-1-2003 A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and

More information

Design and Simulation Study of Active Balun Circuits for WiMAX Applications

Design and Simulation Study of Active Balun Circuits for WiMAX Applications Design and Simulation Study of Circuits for WiMAX Applications Frederick Ray I. Gomez 1,2,*, John Richard E. Hizon 2 and Maria Theresa G. De Leon 2 1 New Product Introduction Department, Back-End Manufacturing

More information

Design and Layout of Two Stage High Bandwidth Operational Amplifier

Design and Layout of Two Stage High Bandwidth Operational Amplifier Design and Layout of Two Stage High Bandwidth Operational Amplifier Yasir Mahmood Qureshi Abstract This paper presents the design and layout of a two stage, high speed operational amplifiers using standard

More information

Advanced Operational Amplifiers

Advanced Operational Amplifiers IsLab Analog Integrated Circuit Design OPA2-47 Advanced Operational Amplifiers כ Kyungpook National University IsLab Analog Integrated Circuit Design OPA2-1 Advanced Current Mirrors and Opamps Two-stage

More information

REDUCING power consumption and enhancing energy

REDUCING power consumption and enhancing energy 548 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 6, JUNE 2016 A Low-Voltage PLL With a Supply-Noise Compensated Feedforward Ring VCO Sung-Geun Kim, Jinsoo Rhim, Student Member,

More information

IN RECENT years, low-dropout linear regulators (LDOs) are

IN RECENT years, low-dropout linear regulators (LDOs) are IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 9, SEPTEMBER 2005 563 Design of Low-Power Analog Drivers Based on Slew-Rate Enhancement Circuits for CMOS Low-Dropout Regulators

More information

A Low Noise, Voltage Control Ring Oscillator Based on Pass Transistor Delay Cell

A Low Noise, Voltage Control Ring Oscillator Based on Pass Transistor Delay Cell A Low Noise, Voltage Control Ring Oscillator Based on Pass Transistor Delay Cell Devi Singh Baghel 1, R.C. Gurjar 2 M.Tech Student, Department of Electronics and Instrumentation, Shri G.S. Institute of

More information

4-Bit Ka Band SiGe BiCMOS Digital Step Attenuator

4-Bit Ka Band SiGe BiCMOS Digital Step Attenuator Progress In Electromagnetics Research C, Vol. 74, 31 40, 2017 4-Bit Ka Band SiGe BiCMOS Digital Step Attenuator Muhammad Masood Sarfraz 1, 2, Yu Liu 1, 2, *, Farman Ullah 1, 2, Minghua Wang 1, 2, Zhiqiang

More information

AVoltage Controlled Oscillator (VCO) was designed and

AVoltage Controlled Oscillator (VCO) was designed and 1 EECE 457 VCO Design Project Jason Khuu, Erik Wu Abstract This paper details the design and simulation of a Voltage Controlled Oscillator using a 0.13µm process. The final VCO design meets all specifications.

More information

ECE 442 Solid State Devices & Circuits. 15. Differential Amplifiers

ECE 442 Solid State Devices & Circuits. 15. Differential Amplifiers ECE 442 Solid State Devices & Circuits 15. Differential Amplifiers Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jschutt@emlab.uiuc.edu ECE 442 Jose Schutt Aine 1 Background

More information

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator

Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator Journal of the Korean Physical Society, Vol. 37, No. 6, December 2000, pp. 803 807 Design of a 3.3-V 1-GHz CMOS Phase Locked Loop with a Two-Stage Self-Feedback Ring Oscillator Yeon Kug Moon Korea Advanced

More information

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator*

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator* WP 23.6 A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator* Christopher Lam, Behzad Razavi University of California, Los Angeles, CA New wireless local area network (WLAN) standards have recently emerged

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 1 Design of Low Phase Noise Ring VCO in 45NM Technology Pankaj A. Manekar, Prof. Rajesh H. Talwekar Abstract: -

More information

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY Neha Bakawale Departmentof Electronics & Instrumentation Engineering, Shri G. S. Institute of

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

The GBTIA, a 5 Gbit/s Radiation-Hard Optical Receiver for the SLHC Upgrades

The GBTIA, a 5 Gbit/s Radiation-Hard Optical Receiver for the SLHC Upgrades The GBTIA, a 5 Gbit/s Radiation-Hard Optical Receiver for the SLHC Upgrades M. Menouni a, P. Gui b, P. Moreira c a CPPM, Université de la méditerranée, CNRS/IN2P3, Marseille, France b SMU, Southern Methodist

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 10: Electroabsorption Modulator Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

A Unity Gain Fully-Differential 10bit and 40MSps Sample-And-Hold Amplifier in 0.18μm CMOS

A Unity Gain Fully-Differential 10bit and 40MSps Sample-And-Hold Amplifier in 0.18μm CMOS A Unity Gain Fully-Differential 0bit and 40MSps Sample-And-Hold Amplifier in 0.8μm CMOS Sanaz Haddadian, and Rahele Hedayati Abstract A 0bit, 40 MSps, sample and hold, implemented in 0.8-μm CMOS technology

More information

WITH the rapid proliferation of numerous multimedia

WITH the rapid proliferation of numerous multimedia 548 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 2, FEBRUARY 2005 CMOS Wideband Amplifiers Using Multiple Inductive-Series Peaking Technique Chia-Hsin Wu, Student Member, IEEE, Chih-Hun Lee, Wei-Sheng

More information

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm Journal of Computer and Communications, 2015, 3, 164-168 Published Online November 2015 in SciRes. http://www.scirp.org/journal/jcc http://dx.doi.org/10.4236/jcc.2015.311026 Design and Implement of Low

More information

A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique

A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique Matsuzawa Lab. Matsuzawa & Okada Lab. Tokyo Institute of Technology A 20GHz Class-C VCO Using Noise Sensitivity Mitigation Technique Kento Kimura, Kenichi Okada and Akira Matsuzawa (WE2C-2) Matsuzawa &

More information

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers)

Document Version Publisher s PDF, also known as Version of Record (includes final page, issue and volume numbers) A 40 GHz, broadband, highly linear amplifier, employing T-coil bandwith extension technique Cheema, H.M.; Mahmoudi, R.; Sanduleanu, M.A.T.; van Roermund, A.H.M. Published in: IEEE Radio Frequency Integrated

More information

A Compact GHz Ultra-Wideband Low-Noise Amplifier in 0.13-m CMOS Po-Yu Chang and Shawn S. H. Hsu, Member, IEEE

A Compact GHz Ultra-Wideband Low-Noise Amplifier in 0.13-m CMOS Po-Yu Chang and Shawn S. H. Hsu, Member, IEEE IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 10, OCTOBER 2010 2575 A Compact 0.1 14-GHz Ultra-Wideband Low-Noise Amplifier in 0.13-m CMOS Po-Yu Chang and Shawn S. H. Hsu, Member,

More information

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 11.9 A Single-Chip Linear CMOS Power Amplifier for 2.4 GHz WLAN Jongchan Kang 1, Ali Hajimiri 2, Bumman Kim 1 1 Pohang University of Science

More information

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier Hugo Serra, Nuno Paulino, and João Goes Centre for Technologies and Systems (CTS) UNINOVA Dept. of Electrical Engineering

More information

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth LETTER IEICE Electronics Express, Vol.11, No.2, 1 9 A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth Mingshuo Wang a), Fan Ye, Wei Li, and Junyan Ren b) State Key Laboratory

More information

電子電路. Memory and Advanced Digital Circuits

電子電路. Memory and Advanced Digital Circuits 電子電路 Memory and Advanced Digital Circuits Hsun-Hsiang Chen ( 陳勛祥 ) Department of Electronic Engineering National Changhua University of Education Email: chenhh@cc.ncue.edu.tw Spring 2010 2 Reference Microelectronic

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

2 Filter Topology Design and Reconfiguration Method 2.1 Filter Topology Design

2 Filter Topology Design and Reconfiguration Method 2.1 Filter Topology Design 3rd International Conference on Multimedia Technology(ICMT 2013) Design of Reconfigurable Low-passFilter for 60GHz Wireless Communication Keyuan Liao 1,2, ZhiqunLi 1,2+, Qin Li 1, Zhigong Wang 1 1 Institute

More information

Revision History. Contents

Revision History. Contents Revision History Ver. # Rev. Date Rev. By Comment 0.0 9/15/2012 Initial draft 1.0 9/16/2012 Remove class A part 2.0 9/17/2012 Comments and problem 2 added 3.0 10/3/2012 cmdmprobe re-simulation, add supplement

More information

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 02, 2016 ISSN (online): 2321-0613 Design & Analysis of CMOS Telescopic Operational Transconductance Amplifier (OTA) with

More information

A Low Power Single Phase Clock Distribution Multiband Network

A Low Power Single Phase Clock Distribution Multiband Network A Low Power Single Phase Clock Distribution Multiband Network A.Adinarayana Asst.prof Princeton College of Engineering and Technology. Abstract : Frequency synthesizer is one of the important elements

More information

Implementation of Current Reuse Structure in LNAUsing 90nm VLSI Technology for ISM Radio Frequency System

Implementation of Current Reuse Structure in LNAUsing 90nm VLSI Technology for ISM Radio Frequency System Implementation of Current Reuse Structure in LNAUsing 90nm VLSI Technology for ISM Radio Frequency System 1 Poonam Yadav, 2 Rajesh Mehra ME Scholar ECE Deptt. NITTTR, Chandigarh, India Associate Professor

More information

Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage

Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage Sadeque Reza Khan Department of Electronic and Communication Engineering, National

More information

WITH the growth of data communication in internet, high

WITH the growth of data communication in internet, high 136 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 2, FEBRUARY 2008 A 0.18-m CMOS 1.25-Gbps Automatic-Gain-Control Amplifier I.-Hsin Wang, Student Member, IEEE, and Shen-Iuan

More information

Topology Selection: Input

Topology Selection: Input Project #2: Design of an Operational Amplifier By: Adrian Ildefonso Nedeljko Karaulac I have neither given nor received any unauthorized assistance on this project. Process: Baker s 50nm CAD Tool: Cadence

More information

TWO AND ONE STAGES OTA

TWO AND ONE STAGES OTA TWO AND ONE STAGES OTA F. Maloberti Department of Electronics Integrated Microsystem Group University of Pavia, 7100 Pavia, Italy franco@ele.unipv.it tel. +39-38-50505; fax. +39-038-505677 474 EE Department

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

MICROWIND2 DSCH2 8. Converters /11/00

MICROWIND2 DSCH2 8. Converters /11/00 8-9 05/11/00 Fig. 8-7. Effect of sampling The effect of sample and hold is illustrated in figure 8-7. When sampling, the transmission gate is turned on so that the sampled data DataOut reaches the value

More information

LINEARITY IMPROVEMENT OF CASCODE CMOS LNA USING A DIODE CONNECTED NMOS TRANSISTOR WITH A PARALLEL RC CIRCUIT

LINEARITY IMPROVEMENT OF CASCODE CMOS LNA USING A DIODE CONNECTED NMOS TRANSISTOR WITH A PARALLEL RC CIRCUIT Progress In Electromagnetics Research C, Vol. 17, 29 38, 2010 LINEARITY IMPROVEMENT OF CASCODE CMOS LNA USING A DIODE CONNECTED NMOS TRANSISTOR WITH A PARALLEL RC CIRCUIT C.-P. Chang, W.-C. Chien, C.-C.

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

Radivoje Đurić, 2015, Analogna Integrisana Kola 1

Radivoje Đurić, 2015, Analogna Integrisana Kola 1 Low power OTA 1 Two-Stage, Miller Op Amp Operating in Weak Inversion Low frequency response: gm1 gm6 Av 0 g g g g A v 0 ds2 ds4 ds6 ds7 I D m, ds D nvt g g I n GB and SR: GB 1 1 n 1 2 4 6 6 7 g 2 2 m1

More information