The Tunnel Vision Syndrome: Massively Delaying Progress

Size: px
Start display at page:

Download "The Tunnel Vision Syndrome: Massively Delaying Progress"

Transcription

1 VIPSI-2012 MONTENEGRO Hotel Splendid in Becici Dec 31, 2012 to Jan 1, 2013 The George Washington University, 5-7 June 2013, Washington, DC Reiner Hartenstein IEEE fellow FPL fellow SDPS fellow The Tunnel Vision Syndrome: Massively Delaying Progress Kaiserslautern University of Technology 1

2 Outline (1) Preface History of Computing The Systolic Array The Kress Array The Xputer Paradigm The Twin Paradigm Approach More Tunnel Vision We must Reinvent Computing Conclusions 2

3 mini computers: VAX-11/750 quasi-standard around 1980 (personal experience:) UC Berkeley (visiting professor) my CS department at Kaiserslautern my Xputer lab at Kaiserslautern E.I.S. project (my M-&-C-action) NATO ASI, Urbino 1981 my von Neumann syndrome experience also here 3

4 Too many terminals Paolo Antognetti Data Input Speed-up orders of magnitude by Orders speed-up by using of Magnitude museum equipment! Donald O. Pederson I used this Museum Equipment NATO ASI on VLSI 1981, SOGESTA, Urbino, Italy, 4

5 The Beauty and the Joy of Computing??? Lambert M. Surhone, Mariam T. Tennoe, Susan F. Hennessow (ed.): Von Neumann Syndrome; ßetascript publishing

6 [1980] Education Revolution: the M-&-C VLSI Design Revolution Das E.I.S.-Projekt: The by far most effective project in the history of modern computer science 2012, 6

7 Dual paradigm mind set: an old hat - but ignored time to space mapping: procedural to structural: loop to pipe mapping 1971: why did it take 25 years to find out? token bit evoke FF 1967: W. A. Clark: Macromodular Computer Systems; 1967 SJCC, AFIPS Conf. Proc. C. G. Bell et al: The Description and Use of Register- Transfer Modules (RTM's); IEEE Trans-C21/5, May FF FF

8 Diana Göhringer s Ph.D.thesis A huge design space Mike Flynn s taxonomy Programmability crisis solution impossible without mastering the entire design space extending Flynn s taxonomy by going heterogeneous: Instruction vs. Data Single vs. Multiple Diana s Taxonomy Reiner s Taxonomy reconfigurable or not 8 datastream-based (anti-machine) noi versus SI or MI

9 The tunnel vision of the pre-manycore age 9

10 Heterogeneous Taxonomy The extension into this huge design space is mainly ignored by curricula and even by most R&D scenes 10

11 fragmentation tall thin man coherence traditional division of specialization: submit Register Transfer (RT) level submit submit submit submit Application level Logic level Switching level Circuit level Layout level in-house technology width of specialization Education Revolution: the M-&-C Design Revolution reject reject reject reject reject 11 The Mead-&-Conway strategy: Das E.I.S.-Projekt: Clearing out & intuitive models Removal of the education dilemma Application Silicon Foundry reduced width of specialization

12 Less Abstraction Layers? Removing Abstraction Layers hides critical sources of efficiency limits. Hides issues to detect overhead and bottlenecks We must change how programmers think, also by.. fusion of abstraction layers: challenging educators opening the borders between paradigm domains 12

13 13 The Tunnel Vision of Language Designers in teaching to students Absurdely incomprehensible abstractions are the problem in standard languages The High Cost of Movement of Data and Instructions Concurrency models should operate at component architecture level rather than programming languages. [E. A. Lee] 13 [E. A. Lee: Are new languages necessary for multicore? 2007] [E. A. Lee. The problem with threads. Computer, 2006.]

14 tall thin man coherence tall thin woman coherence even more More Connected Thinking traditional division of specialization: application level global and local memory distributed memory pipe-networks arbiter off-chip communication Multiplexing Interconnect at all levels distribution inter-processor NoC Register Transfer (RT) level Logic level Switching level Circuit level Layout level 14 The new strategy: heterogeneous platforms

15 The End of Evolution The end of evolutionary extension of current models Disruptive research is required in programmability Fundamental programming issues have to be revisited An entirely new software stack is needed Rethink all disciplines from circuit design and test, up to architecture, system design, storage behavior, compilers, run time systems, operating systems, and programming. We need a much deeper integration between applications and data inside all kinds of memory 15

16 Conclusions We need by orders of magnitude more parallelism and power-efficiency A reductionist attitude of most R&D areas massively delays the solution of urgent problems Disruptive research is urgently required and fundamental issues have to be revisited We must disruptively reivent CS education We must overcome the von Neumann syndrome and the widespread Tunnel Vision dementia 16

2006, [1996: Ph. D, MIT] transistors / microchip. reconfigurability overhead>

2006,   [1996: Ph. D, MIT] transistors / microchip. reconfigurability overhead> The International Symposium on Low Power Electronics and Design ISLPED 06 Rottach-Egern, Germany, Oct 4-6, 06 Chair: Peter Wintermayr Wasting Energy by the Internet Panel statements by Reiner Hartenstein

More information

Stonewalled Progress of Computing Efficiency

Stonewalled Progress of Computing Efficiency Reiner Hartenstein http://hartenstein.de reiner@hartenstein.de Stonewalled Progress of Computing Efficiency 1 The Productivity Paradox (Preface) Robert Solow Nobel Prize 1987 Until the 1950s tabulators

More information

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir Parallel Computing 2020: Preparing for the Post-Moore Era Marc Snir THE (CMOS) WORLD IS ENDING NEXT DECADE So says the International Technology Roadmap for Semiconductors (ITRS) 2 End of CMOS? IN THE LONG

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP

DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP DATA ENCODING TECHNIQUES FOR LOW POWER CONSUMPTION IN NETWORK-ON-CHIP S. Narendra, G. Munirathnam Abstract In this project, a low-power data encoding scheme is proposed. In general, system-on-chip (soc)

More information

Self-Aware Adaptation in FPGAbased

Self-Aware Adaptation in FPGAbased DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE Self-Aware Adaptation in FPGAbased Systems IEEE FPL 2010 Filippo Siorni: filippo.sironi@dresd.org Marco Triverio: marco.triverio@dresd.org Martina Maggio: mmaggio@mit.edu

More information

» CHUCK MOREFIELD: In 1956 the early thinkers in artificial intelligence, including Oliver Selfridge, Marvin Minsky, and others, met at Dartmouth.

» CHUCK MOREFIELD: In 1956 the early thinkers in artificial intelligence, including Oliver Selfridge, Marvin Minsky, and others, met at Dartmouth. DARPATech, DARPA s 25 th Systems and Technology Symposium August 8, 2007 Anaheim, California Teleprompter Script for Dr. Chuck Morefield, Deputy Director, Information Processing Technology Office Extreme

More information

Reconfigurable Computing (RC) being Mainstream: Torpedoed by Education

Reconfigurable Computing (RC) being Mainstream: Torpedoed by Education MSE 2005 International Conference on Microelectronic Systems Education, June 12, 2005, Anaheim, USA in conjunction with DAC Reiner Hartenstein Reconfigurable Computing (RC) being Mainstream: Torpedoed

More information

The energy consumption of all computers worldwide will become unaffordable.

The energy consumption of all computers worldwide will become unaffordable. Reiner Hartenstein,, Germany Reiner Hartenstein Reinvent Computing Evangelist A Visionary Retrospective about Reconfigurable Computing Education Why we need to reinvent Computing 1 Abstract (Preface) The

More information

Smart Vision Chip Fabricated Using Three Dimensional Integration Technology

Smart Vision Chip Fabricated Using Three Dimensional Integration Technology Smart Vision Chip Fabricated Using Three Dimensional Integration Technology H.Kurino, M.Nakagawa, K.W.Lee, T.Nakamura, Y.Yamada, K.T.Park and M.Koyanagi Dept. of Machine Intelligence and Systems Engineering,

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Power consumption reduction in a SDR based wireless communication system using partial reconfigurable FPGA

Power consumption reduction in a SDR based wireless communication system using partial reconfigurable FPGA Power consumption reduction in a SDR based wireless communication system using partial reconfigurable FPGA 1 Neenu Joseph, 2 Dr. P Nirmal Kumar 1 Research Scholar, Department of ECE Anna University, Chennai,

More information

Reducing Switching Activities Through Data Encoding in Network on Chip

Reducing Switching Activities Through Data Encoding in Network on Chip American-Eurasian Journal of Scientific Research 10 (3): 160-164, 2015 ISSN 1818-6785 IDOSI Publications, 2015 DOI: 10.5829/idosi.aejsr.2015.10.3.22279 Reducing Switching Activities Through Data Encoding

More information

PoC #1 On-chip frequency generation

PoC #1 On-chip frequency generation 1 PoC #1 On-chip frequency generation This PoC covers the full on-chip frequency generation system including transport of signals to receiving blocks. 5G frequency bands around 30 GHz as well as 60 GHz

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology IJIRST International Journal for Innovative Research in Science & Technology Volume 2 Issue 10 March 2016 ISSN (online): 2349-6010 An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS

More information

2015 ITRS/RC Summer Meeting

2015 ITRS/RC Summer Meeting 2015 ITRS/RC Summer Meeting July 11 and 12, Stanford University, CISX 101 July 11 Time Duration Presentation Title Speaker Affiliation 7:30 am Breakfast 8:00 am 60 min Introduction Paolo Gargini ITRS 9:00am

More information

High efficiency DC-DC Buck converter architecture suitable for embedded applications using switched capacitor

High efficiency DC-DC Buck converter architecture suitable for embedded applications using switched capacitor International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 2 Issue 4 ǁ April. 2013 ǁ PP.15-19 High efficiency DC-DC Buck converter architecture suitable

More information

VLSI Implementation of Digital Down Converter (DDC)

VLSI Implementation of Digital Down Converter (DDC) Volume-7, Issue-1, January-February 2017 International Journal of Engineering and Management Research Page Number: 218-222 VLSI Implementation of Digital Down Converter (DDC) Shaik Afrojanasima 1, K Vijaya

More information

Multi-core Platforms for

Multi-core Platforms for 20 JUNE 2011 Multi-core Platforms for Immersive-Audio Applications Course: Advanced Computer Architectures Teacher: Prof. Cristina Silvano Student: Silvio La Blasca 771338 Introduction on Immersive-Audio

More information

Research Statement. Sorin Cotofana

Research Statement. Sorin Cotofana Research Statement Sorin Cotofana Over the years I ve been involved in computer engineering topics varying from computer aided design to computer architecture, logic design, and implementation. In the

More information

Introduction to IEEE CAS Publications

Introduction to IEEE CAS Publications Introduction to IEEE CAS Publications Gianluca Setti 12 1 Dep. of Engineering (ENDIF) University of Ferrara 2 Advanced Research Center on Electronic Systems for Information Engineering and Telecommunications

More information

Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation

Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation International Conference on ReConFigurable Computing and FPGAs (ReConFig 2011) 30 th Nov- 2 nd Dec 2011, Cancun, Mexico Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation Naveed

More information

High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers

High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers High performance Radix-16 Booth Partial Product Generator for 64-bit Binary Multipliers Dharmapuri Ranga Rajini 1 M.Ramana Reddy 2 rangarajini.d@gmail.com 1 ramanareddy055@gmail.com 2 1 PG Scholar, Dept

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

Masatoshi Ishikawa, Akio Namiki, Takashi Komuro, and Idaku Ishii

Masatoshi Ishikawa, Akio Namiki, Takashi Komuro, and Idaku Ishii 1ms Sensory-Motor Fusion System with Hierarchical Parallel Processing Architecture Masatoshi Ishikawa, Akio Namiki, Takashi Komuro, and Idaku Ishii Department of Mathematical Engineering and Information

More information

An architecture for Scalable Concurrent Embedded Software" No more communication in your program, the key to multi-core and distributed programming.

An architecture for Scalable Concurrent Embedded Software No more communication in your program, the key to multi-core and distributed programming. An architecture for Scalable Concurrent Embedded Software" No more communication in your program, the key to multi-core and distributed programming. Eric.Verhulst@altreonic.com www.altreonic.com 1 Content

More information

A NOVEL WALLACE TREE MULTIPLIER FOR USING FAST ADDERS

A NOVEL WALLACE TREE MULTIPLIER FOR USING FAST ADDERS G RAMESH et al, Volume 2, Issue 7, PP:, SEPTEMBER 2014. A NOVEL WALLACE TREE MULTIPLIER FOR USING FAST ADDERS G.Ramesh 1*, K.Naga Lakshmi 2* 1. II. M.Tech (VLSI), Dept of ECE, AM Reddy Memorial College

More information

Parallel vs. Serial Inter-plane communication using TSVs

Parallel vs. Serial Inter-plane communication using TSVs Parallel vs. Serial Inter-plane communication using TSVs Somayyeh Rahimian Omam, Yusuf Leblebici and Giovanni De Micheli EPFL Lausanne, Switzerland Abstract 3-D integration is a promising prospect for

More information

On-chip Networks in Multi-core era

On-chip Networks in Multi-core era Friday, October 12th, 2012 On-chip Networks in Multi-core era Davide Zoni PhD Student email: zoni@elet.polimi.it webpage: home.dei.polimi.it/zoni Outline 2 Introduction Technology trends and challenges

More information

Introduction. Reading: Chapter 1. Courtesy of Dr. Dansereau, Dr. Brown, Dr. Vranesic, Dr. Harris, and Dr. Choi.

Introduction. Reading: Chapter 1. Courtesy of Dr. Dansereau, Dr. Brown, Dr. Vranesic, Dr. Harris, and Dr. Choi. Introduction Reading: Chapter 1 Courtesy of Dr. Dansereau, Dr. Brown, Dr. Vranesic, Dr. Harris, and Dr. Choi http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Why study logic design? Obvious reasons

More information

An Area Efficient Decomposed Approximate Multiplier for DCT Applications

An Area Efficient Decomposed Approximate Multiplier for DCT Applications An Area Efficient Decomposed Approximate Multiplier for DCT Applications K.Mohammed Rafi 1, M.P.Venkatesh 2 P.G. Student, Department of ECE, Shree Institute of Technical Education, Tirupati, India 1 Assistant

More information

Evolutionary Electronics

Evolutionary Electronics Evolutionary Electronics 1 Introduction Evolutionary Electronics (EE) is defined as the application of evolutionary techniques to the design (synthesis) of electronic circuits Evolutionary algorithm (schematic)

More information

An Efficent Real Time Analysis of Carry Select Adder

An Efficent Real Time Analysis of Carry Select Adder An Efficent Real Time Analysis of Carry Select Adder Geetika Gesu Department of Electronics Engineering Abha Gaikwad-Patil College of Engineering Nagpur, Maharashtra, India E-mail: geetikagesu@gmail.com

More information

Integrate-and-Fire Neuron Circuit and Synaptic Device using Floating Body MOSFET with Spike Timing- Dependent Plasticity

Integrate-and-Fire Neuron Circuit and Synaptic Device using Floating Body MOSFET with Spike Timing- Dependent Plasticity JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.15, NO.6, DECEMBER, 2015 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2015.15.6.658 ISSN(Online) 2233-4866 Integrate-and-Fire Neuron Circuit

More information

Implementation of Neuromorphic System with Si-based Floating-body Synaptic Transistors

Implementation of Neuromorphic System with Si-based Floating-body Synaptic Transistors JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.2.210 ISSN(Online) 2233-4866 Implementation of Neuromorphic System

More information

Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects

Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects Olivier Sentieys, Johanna Sepúlveda, Sébastien Le Beux, Jiating Luo, Cedric Killian, Daniel Chillet, Ian O Connor, Hui

More information

Design of Baugh Wooley Multiplier with Adaptive Hold Logic. M.Kavia, V.Meenakshi

Design of Baugh Wooley Multiplier with Adaptive Hold Logic. M.Kavia, V.Meenakshi International Journal of Scientific & Engineering Research, Volume 6, Issue 4, April-2015 105 Design of Baugh Wooley Multiplier with Adaptive Hold Logic M.Kavia, V.Meenakshi Abstract Mostly, the overall

More information

Architecting Systems of the Future, page 1

Architecting Systems of the Future, page 1 Architecting Systems of the Future featuring Eric Werner interviewed by Suzanne Miller ---------------------------------------------------------------------------------------------Suzanne Miller: Welcome

More information

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic M.Manikandan 2,Rajasri 2,A.Bharathi 3 Assistant Professor, IFET College of Engineering, Villupuram, india 1 M.E,

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

1 History of software engineering

1 History of software engineering 1 History of software engineering Software is everywhere buying bread, driving car, washing clothes synonyms: programs, applications People, who develop the software software engineers, software developers,

More information

Prototyping Next-Generation Communication Systems with Software-Defined Radio

Prototyping Next-Generation Communication Systems with Software-Defined Radio Prototyping Next-Generation Communication Systems with Software-Defined Radio Dr. Brian Wee RF & Communications Systems Engineer 1 Agenda 5G System Challenges Why Do We Need SDR? Software Defined Radio

More information

Integrate-and-Fire Neuron Circuit and Synaptic Device with Floating Body MOSFETs

Integrate-and-Fire Neuron Circuit and Synaptic Device with Floating Body MOSFETs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.6, DECEMBER, 2014 http://dx.doi.org/10.5573/jsts.2014.14.6.755 Integrate-and-Fire Neuron Circuit and Synaptic Device with Floating Body MOSFETs

More information

A Novel Approach For Designing A Low Power Parallel Prefix Adders

A Novel Approach For Designing A Low Power Parallel Prefix Adders A Novel Approach For Designing A Low Power Parallel Prefix Adders R.Chaitanyakumar M Tech student, Pragati Engineering College, Surampalem (A.P, IND). P.Sunitha Assistant Professor, Dept.of ECE Pragati

More information

MAGNETORESISTIVE random access memory

MAGNETORESISTIVE random access memory 132 IEEE TRANSACTIONS ON MAGNETICS, VOL. 41, NO. 1, JANUARY 2005 A 4-Mb Toggle MRAM Based on a Novel Bit and Switching Method B. N. Engel, J. Åkerman, B. Butcher, R. W. Dave, M. DeHerrera, M. Durlam, G.

More information

Creating the Right Environment for Machine Learning Codesign. Cliff Young, Google AI

Creating the Right Environment for Machine Learning Codesign. Cliff Young, Google AI Creating the Right Environment for Machine Learning Codesign Cliff Young, Google AI 1 Deep Learning has Reinvigorated Hardware GPUs AlexNet, Speech. TPUs Many Google applications: AlphaGo and Translate,

More information

A Low Power Single Phase Clock Distribution Multiband Network

A Low Power Single Phase Clock Distribution Multiband Network A Low Power Single Phase Clock Distribution Multiband Network A.Adinarayana Asst.prof Princeton College of Engineering and Technology. Abstract : Frequency synthesizer is one of the important elements

More information

International Journal of Scientific & Engineering Research, Volume 8, Issue 4, April ISSN

International Journal of Scientific & Engineering Research, Volume 8, Issue 4, April ISSN International Journal of Scientific & Engineering Research, Volume 8, Issue 4, April-2017 324 FPGA Implementation of Reconfigurable Processor for Image Processing Ms. Payal S. Kadam, Prof. S.S.Belsare

More information

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing

CS250 VLSI Systems Design. Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing CS250 VLSI Systems Design Lecture 3: Physical Realities: Beneath the Digital Abstraction, Part 1: Timing Fall 2010 Krste Asanovic, John Wawrzynek with John Lazzaro and Yunsup Lee (TA) What do Computer

More information

Chapter 6: DSP And Its Impact On Technology. Book: Processor Design Systems On Chip. By Jari Nurmi

Chapter 6: DSP And Its Impact On Technology. Book: Processor Design Systems On Chip. By Jari Nurmi Chapter 6: DSP And Its Impact On Technology Book: Processor Design Systems On Chip Computing For ASICs And FPGAs By Jari Nurmi Slides Prepared by: Omer Anjum Introduction The early beginning g of DSP DSP

More information

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog

A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog A Fixed-Width Modified Baugh-Wooley Multiplier Using Verilog K.Durgarao, B.suresh, G.Sivakumar, M.Divaya manasa Abstract Digital technology has advanced such that there is an increased need for power efficient

More information

ECE 521. Design Flow. Fall 2016 Simulation. Design Verification. Why Solve Equations on a Computer?

ECE 521. Design Flow. Fall 2016 Simulation. Design Verification. Why Solve Equations on a Computer? Design Flow Comparison with specs Redesign Concept Implementation Design Specifications Circuit Schematic ECE 521 Layout SPICE etc. Physical definition Fall 2016 Physical verification Parasitic Extraction

More information

Advances In Natural And Applied Sciences Homepage: October; 12(10): pages 1-7 DOI: /anas

Advances In Natural And Applied Sciences Homepage: October; 12(10): pages 1-7 DOI: /anas Advances In Natural And Applied Sciences Homepage: http://www.aensiweb.com/anas/ 2018 October; 12(10): pages 1-7 DOI: 10.22587/anas.2018.12.10.1 Research Article AENSI Publications Design of CMOS Architecture

More information

BMOSLFGEMW: A Spectrum of Game Engine Architectures

BMOSLFGEMW: A Spectrum of Game Engine Architectures BMOSLFGEMW: A Spectrum of Game Engine Architectures Adam M. Smith amsmith@soe.ucsc.edu CMPS 164 Game Engines March 30, 2010 What I m about to show you cannot be found in any textbook, on any website, on

More information

Reverse Engineering A Roadmap

Reverse Engineering A Roadmap Reverse Engineering A Roadmap Hausi A. MŸller Jens Jahnke Dennis Smith Peggy Storey Scott Tilley Kenny Wong ICSE 2000 FoSE Track Limerick, Ireland, June 7, 2000 1 Outline n Brief history n Code reverse

More information

A Divide-and-Conquer Approach to Evolvable Hardware

A Divide-and-Conquer Approach to Evolvable Hardware A Divide-and-Conquer Approach to Evolvable Hardware Jim Torresen Department of Informatics, University of Oslo, PO Box 1080 Blindern N-0316 Oslo, Norway E-mail: jimtoer@idi.ntnu.no Abstract. Evolvable

More information

Towards a Reconfigurable Nanocomputer Platform

Towards a Reconfigurable Nanocomputer Platform Towards a Reconfigurable Nanocomputer Platform Paul Beckett School of Electrical and Computer Engineering RMIT University Melbourne, Australia 1 The Nanoscale Cambrian Explosion Disparity: Widerangeof

More information

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier

A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, OL.13, NO.5, OCTOBER, 2013 http://dx.doi.org/10.5573/jsts.2013.13.5.459 A Reset-Free Anti-Harmonic Programmable MDLL- Based Frequency Multiplier Geontae

More information

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop Seong-Jin An 1 and Young-Shig Choi 2 Department of Electronic Engineering, Pukyong National University

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

CMRE La Spezia, Italy

CMRE La Spezia, Italy Innovative Interoperable M&S within Extended Maritime Domain for Critical Infrastructure Protection and C-IED CMRE La Spezia, Italy Agostino G. Bruzzone 1,2, Alberto Tremori 1 1 NATO STO CMRE& 2 Genoa

More information

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2 Design Space Exploration for Robust Power Delivery in TSV Based 3-D Systems-on-Chip Suhas M. Satheesh High-Speed Fabrics Team NVIDIA Santa Clara, California 955 ssatheesh@nvidia.com Emre Salman Department

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

Center for Hybrid and Embedded Software Systems. Hybrid & Embedded Software Systems

Center for Hybrid and Embedded Software Systems. Hybrid & Embedded Software Systems Center for Hybrid and Embedded Software Systems College of Engineering, University of California at Berkeley Presented by: Edward A. Lee, EECS, UC Berkeley Citris Founding Corporate Members Meeting, Feb.

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture Overview 1 Trends in Microprocessor Architecture R05 Robert Mullins Computer architecture Scaling performance and CMOS Where have performance gains come from? Modern superscalar processors The limits of

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER

AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER AREA AND DELAY EFFICIENT DESIGN FOR PARALLEL PREFIX FINITE FIELD MULTIPLIER 1 CH.JAYA PRAKASH, 2 P.HAREESH, 3 SK. FARISHMA 1&2 Assistant Professor, Dept. of ECE, 3 M.Tech-Student, Sir CR Reddy College

More information

FPGA-2012 Pre-Conference Workshop: FPGAs in 2032: Challenges and Opportunities

FPGA-2012 Pre-Conference Workshop: FPGAs in 2032: Challenges and Opportunities FPGA-2012 Pre-Conference Workshop: FPGAs in 2032: Challenges and Opportunities Shep Siegel Atomic Rules LLC 1 Agenda Pre-History: Our Future from our Past How Specialization Changed Us Why Research Matters

More information

POST CMOS PATHFINDING. Leti Innovation Days June 28-29, 2017

POST CMOS PATHFINDING. Leti Innovation Days June 28-29, 2017 POST CMOS PATHFINDING DEVELOPING THE BUILDING BLOCKS FOR DATA PROCESSING The challenges to continue the performance improvement of data processing systems are multiple Improve the energy efficiency to

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK DESIGN OF PD AND HIGH PERFORMANCE VCO FOR PLL WITH 45 nm CMOS TECHNOLOGY VAISHALI

More information

Design and Analysis of RNS Based FIR Filter Using Verilog Language

Design and Analysis of RNS Based FIR Filter Using Verilog Language International Journal of Computational Engineering & Management, Vol. 16 Issue 6, November 2013 www..org 61 Design and Analysis of RNS Based FIR Filter Using Verilog Language P. Samundiswary 1, S. Kalpana

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing N.Rajini MTech Student A.Akhila Assistant Professor Nihar HoD Abstract This project presents two original implementations

More information

Faster and Low Power Twin Precision Multiplier

Faster and Low Power Twin Precision Multiplier Faster and Low Twin Precision V. Sreedeep, B. Ramkumar and Harish M Kittur Abstract- In this work faster unsigned multiplication has been achieved by using a combination High Performance Multiplication

More information

Yet, many signal processing systems require both digital and analog circuits. To enable

Yet, many signal processing systems require both digital and analog circuits. To enable Introduction Field-Programmable Gate Arrays (FPGAs) have been a superb solution for rapid and reliable prototyping of digital logic systems at low cost for more than twenty years. Yet, many signal processing

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay

An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay An Design of Radix-4 Modified Booth Encoded Multiplier and Optimised Carry Select Adder Design for Efficient Area and Delay 1. K. Nivetha, PG Scholar, Dept of ECE, Nandha Engineering College, Erode. 2.

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Ananda S.Paymode.Dnyaneshwar K.Padol. Santosh B.Lukare. Asst. Professor, Dept. of E & TC, LGNSCOE,Nashik,UO Pune, MaharashtraIndia

More information

Adaptive sensing and image processing with a general-purpose pixel-parallel sensor/processor array integrated circuit

Adaptive sensing and image processing with a general-purpose pixel-parallel sensor/processor array integrated circuit Adaptive sensing and image processing with a general-purpose pixel-parallel sensor/processor array integrated circuit Piotr Dudek School of Electrical and Electronic Engineering, University of Manchester

More information

Integrated Power Management with Switched-Capacitor DC-DC Converters

Integrated Power Management with Switched-Capacitor DC-DC Converters Integrated Power Management with Switched-Capacitor DC-DC Converters Hanh-Phuc Le, Michael Seeman, Vincent Ng., Mervin John Prof. Seth Sanders and Prof. Elad Alon UC Berkeley, California p.1 Integration

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

128 BIT MODIFIED SQUARE ROOT CARRY SELECT ADDER

128 BIT MODIFIED SQUARE ROOT CARRY SELECT ADDER 128 BIT MODIFIED SQUARE ROOT CARRY SELECT ADDER A. Santhosh Kumar 1, S.Mohana Sowmiya 2 S.Mirunalinii 3, U. Nandha Kumar 4 1 Assistant Professor, Department of ECE, SNS College of Technology, Coimbatore

More information

CS10 : The Beauty and Joy of Computing

CS10 : The Beauty and Joy of Computing CS10 : The Beauty and Joy of Computing Lecture #16 : Computational Game Theory UC Berkeley EECS Lecturer SOE Dan Garcia Form a learning community! 2012-03-12 Summer courses (CS61A, CS70) avail A 19-year

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Marco Storto and Roberto Saletti Dipartimento di Ingegneria della Informazione: Elettronica, Informatica,

More information

Energy autonomous wireless sensors: InterSync Project. FIMA Autumn Conference 2011, Nov 23 rd, 2011, Tampere Vesa Pentikäinen VTT

Energy autonomous wireless sensors: InterSync Project. FIMA Autumn Conference 2011, Nov 23 rd, 2011, Tampere Vesa Pentikäinen VTT Energy autonomous wireless sensors: InterSync Project FIMA Autumn Conference 2011, Nov 23 rd, 2011, Tampere Vesa Pentikäinen VTT 2 Contents Introduction to the InterSync project, facts & figures Design

More information

Systems with Digital Integrated Circuits

Systems with Digital Integrated Circuits Systems with Digital Integrated Circuits Introduction Sorin Hintea Basis of Electronics Departament Commutative logic The operation of digital circuits is based on the use of switches capable of going

More information

Sustaining the Si Revolution: From 3D Transistors to 3D Integration

Sustaining the Si Revolution: From 3D Transistors to 3D Integration Sustaining the Si Revolution: From 3D Transistors to 3D Integration Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley, CA USA February 23, 2015

More information

Architecture ISCA 16 Luis Ceze, Tom Wenisch

Architecture ISCA 16 Luis Ceze, Tom Wenisch Architecture 2030 @ ISCA 16 Luis Ceze, Tom Wenisch Mark Hill (CCC liaison, mentor) LIVE! Neha Agarwal, Amrita Mazumdar, Aasheesh Kolli (Student volunteers) Context Many fantastic community formation/visioning

More information

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 19, Number 3, 2016, 199 212 Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics Saurabh

More information

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective S. P. Mohanty, R. Velagapudi and E. Kougianos Dept of Computer Science and Engineering University of North Texas

More information

VLSI, MCM, and WSI: A Design Comparison

VLSI, MCM, and WSI: A Design Comparison VLSI, MCM, and WSI: A Design Comparison EARL E. SWARTZLANDER, JR. University of Texas at Austin Three IC technologies result in different outcomes performance and cost in two case studies. The author compares

More information