Enhancing System-Wide Power Integrity in 3D ICs with Power Gating

Size: px
Start display at page:

Download "Enhancing System-Wide Power Integrity in 3D ICs with Power Gating"

Transcription

1 Enhaning System-Wide Power Integrity in 3D ICs with Power Gating Hailang Wang and Emre Salman Department of Eletrial and Computer Engineering, Stony Brook University, Stony Brook, NY 794 USA {hailang.wang, Abstrat Power gating is a ommonly used method to redue subthreshold leakage urrent in nanosale tehnologies. In through silion via (TSV) based threedimensional (3D) integrated iruits (ICs), power gating an signifiantly degrade system-wide power integrity sine the deoupling apaitane assoiated with the power gated blok/plane beomes ineffetive for neighboring ative planes, as demonstrated in this paper. A reonfigurable deoupling apaitor topology is investigated to alleviate this issue by exploiting the ability of via-last TSVs to bypass plane-level power networks when delivering the supply voltage. deoupling apaitors plaed within a plane an provide harge to neighboring planes even when the plane is power gated, thereby signifiantly reduing both RMS power supply noise (by up to 46%) and RMS power gating (in-rush urrent) noise (by up to 85%) at the expense of a slight inrease in area (by.55%) and peak power onsumption (by.36%). Keywords Power delivery, 3D IC, power gating Plane Plane 2 Plane 3 Virtual VDD grid Global VDD grid Via-last TSV Fig.. Coneptual representation of a power distribution network for a three-plane 3D IC with via-last TSVs. Power gating is illustrated with global and virtual power grids and sleep transistors (). I. Introdution Through silion via (TSV) based three-dimensional (3D) integrated iruits have emerged as a promising tehnology for both high performane and low power integrated iruits (ICs) []. 3D ICs alleviate the adverse effets of global interonnets, thereby enabling higher performane at lower power onsumption while potentially lowering ost [, 2]. A signifiant hallenge in vertial 3D integration is the design of a reliable power distribution network. Existing researh efforts have investigated TSV types, plaement, optimization, and power grid arhitetures [3 5]. Deoupling apaitors in 3D ICs have also been onsidered [6,7]. However, the effet of power gating on the power integrity of 3D ICs has not reeived muh attention. Power gating effetively redues subthreshold leakage urrent by turning off the power delivery path of a iruit module when the module is not ative [8]. For 3D systems, due to the harateristis of heterogeneous integration and high parallelism, the amount of nonswithing iruits an be signifiantly high. Thus, 3D ICs are expeted to be heavily power gated to suffiiently redue leakage power. In [9], Todri et al. have investigated the effet of planelevel power gating on power integrity in 3D ICs. It has been demonstrated that the deoupling apaitane plaed This researh is supported in part by the National Siene Foundation CAREER grant under No. CCF and the Offie of the Vie President for Researh at Stony Brook University. within a plane is highly effetive in reduing the power supply noise of neighboring planes. The interdependene between deoupling apaitane and power gating, however, has not been onsidered. In traditional deoupling apaitor topologies, the deoupling apaitane within a iruit blok is onneted to the loal power grid (loser to the swithing iruits) to effetively redue supply noise [0, ]. However, if the blok (or an entire plane) is power gated, those apaitors are disonneted from the global power network and therefore annot provide harge to the neighboring, potentially ative bloks or planes. In [2], Tong et al. have proposed reroutable deoupling apaitors in 2D ICs. The primary objetive has been to relax the tight tradeoff between power gating noise and leakage power by onneting the deoupling apaitors to the global power grid when a blok is power gated. Thus, these deoupling apaitors remain harged, signifiantly reduing the power gating noise at the expense of apaitor leakage urrent. However, in [2], the ability of reroutable apaitors in reduing the power supply noise of neighboring bloks has not been explored. This ability is failitated partiularly in 3D ICs due to shorter global interonnets. In this paper, reonfigurable apaitors are proposed to alleviate power supply noise of the neighboring ative planes in 3D ICs. Two harateristis of via-last TSVs are exploited to inrease the effetive range of a de /5/$ IEEE 322 6th Int'l Symposium on Quality Eletroni Design

2 oupling apaitane: () low resistivity and (2) ability to bypass plane-level power network when delivering the power supply voltage. The rest of the paper is organized as follows. The reonfigurable deoupling apaitor plaement topology for 3D ICs with power gating is introdued in Setion II. Simulation results are presented in Setion III. Finally, the paper is onluded in Setion IV. Transistors Swith Swith 2 Ciruit Bloks Vdd Virtual Vdd II. Deoupling apaitors in 3D ICs with Power Gating Typial methods of implementing power gating in 3D ICs are introdued in Setion II-A. The reonfigurable deoupling apaitor topology is presented in Setion II-B. A. Bakground Similar to 2D planar tehnologies, sleep transistors with high threshold voltage are utilized to ahieve power gating in 3D ICs. Depending upon the type of TSVs (viafirst/middle or via-last), distributed or lumped power gating topologies have been proposed [3]. In the distributed topology (shown to be more appropriate for via-last TSVs), sleep transistors are distributed throughout the entire 3D stak whereas in lumped topology (shown to be more appropriate for via-first/middle TSVs), all of the sleep transistors are loated at the topmost plane [3]. An example of a via-last TSV based 3D power network with distributed power gating topology is illustrated in Fig.. Note that via-last TSVs pass through the metal layers and onnet the topmost metal layer on eah plane. Also, note that in via-last TSV tehnology, the TSV resistane is signifiantly smaller as ompared to via-first/middle tehnologies. These two harateristis of via-last TSVs failitate the utilization of a deoupling apaitor within a plane to redue the power supply noise of the neighboring planes (due to greater effetive range). B. Reonfiguration of the Deoupling Capaitors Sine system-wide power integrity is a ritial hallenge, effetive utilization of intentional deoupling apaitane is ruial, even when power gating is adopted. This issue is exaerbated in 3D ICs due to the power grid impedanes of the multiple planes and higher integration levels. In 2D ICs, it is relatively more diffiult to utilize the apaitane within a power gated domain for the remaining, ative regions due to longer global interonnets. In 3D ICs, however, due to redued interonnet length and relatively low resistane of via-last TSVs, deoupling apaitane within a power gated plane an still be effetive for the neighboring ative planes. Aording to [9], the effetive range of a deoupling apaitor exeeds single plane in 3D ICs with via-last TSVs, as also observed in this work. Thus, reonfigurable deoupling apaitor topology is an effetive method to enhane power integrity in 3D ICs with power gating. In the reonfigurable topology, two swithes are introdued, as oneptually illustrated in Fig. 2. If a ertain Fig. 2. Coneptual representation of the reonfigurable deoupling apaitor topology with power gating. Loal Z Swith 2 Swith 2 Ciruit Blok Global Vdd Transistor Virtual Vdd Fig. 3. Illustration of the additional resistive path between the global and virtual power networks formed by the reonfigurable swithes. plane is ative, the deoupling apaitors on that plane are onneted to the virtual V DD grid through swith 2, thereby reduing the power supply noise on that plane. Alternatively, if the plane is power gated (sleep transistors are turned off), the deoupling apaitors are onneted to the global V DD grid, bypassing the sleep transistors. Thus, even if the plane is power gated, the deoupling apaitors remain effetive for the ative planes. The overhead of this topology inludes the reonfigurable swithes, metal resoures to route the ontrol signals, and higher leakage urrent if the apaitors are implemented as MOS apaitors, as quantified in this work. Similar to sleep transistors [4], high-v th MOS swithes are used to minimize the voltage at the virtual V DD grid when the plane is power gated (swith is on and swith 2 is off). Note that the two reonfigurable swithes form an additional path from global V DD grid to virtual V DD grid, as depited in Fig. 3. Thus, the effetive resistane of the sleep transistors and the effetive resistane of the reonfigurable swithes are in parallel, partially reduing the off-resistane between global and virtual V DD grids. High-V th swithes are therefore required to maintain signifiant savings in the leakage urrent when the plane is power gated. III. Simulation Results A omprehensive ase study is developed to investigate the benefits and tradeoffs of the proposed reonfigurable deoupling apaitor topology. The analysis setup is de-

3 transistor C4 bump Global VDD grid swith TABLE I Primary physial harateristis of the global and loal power grids [5]. Parameters Values Pith 45 µm Global grid (Metal 0 & 9) Width 40 µm Resistivity (ohm/sq) 0.03 Pith 23.5 µm Loal grid (Metal 8 & 7) Width 20 µm Resistivity (ohm/sq) Ciruit load Virtual VDD grid TABLE II Pakage, TSV, and C4 bump parasiti impedanes [5, 6]. Parameters Values Lumped pakage resistane R pakage mω Lumped pakage indutane L pakage 20 ph Single C4 bump resistane R C4 5 mω Single C4 bump indutane L C4 200 ph Single via-last TSV resistane R tsv 20 mω Single via-last TSV apaitane C tsv 283 ff Single via-last TSV indutane L tsv 35 ph Fig. 4. Plane-level power network illustrating distributed sleep transistors, deoupling apaitors (traditional and proposed topologies), swithing load iruits (gates with ative devies), and the C4 bumps (for the top plane only). sribed in Setion III-A. Simulation results are presented in Setion III-B where the proposed topology is ompared with the traditional topology in terms of area overhead, power supply noise, power gating noise, and leakage urrent. A. Simulation Setup A highly distributed model of a power distribution network is developed for a three-plane 3D IC with via-last TSVs. A 45 nm CMOS tehnology with 0 metal layers in eah plane is assumed [5]. A portion of the power network with an area of mm by mm is analyzed using HSPICE. Eah plane onsists of a global power network, virtual power network, distributed PMOS sleep transistors, distributed deoupling apaitane, and distributed swithing load iruits, as depited in Fig. 4. Top two metal layers (9 and 0) on eah plane are dediated to global power distribution network with an interdigitated grid of.metal layers 8 and 7 are used as the virtual power network represented by an interdigitated grid of 2 2. Power gating is ahieved using a distributed method where the sleep transistors that ontrol a plane are plaed within that plane [3]. Primary physial harateristis of the 3D power grid are listed in Table I. The pith and width of the metal lines are determined based upon the tehnology design rules [5] while also onsidering routing onstraints. Physial harateristis of the via-last TSVs, C4 bumps, and the pakage impedanes are listed in Table II. A fliphip pakage is assumed and modeled with a lumped resistane of mω and indutane of 20 ph [6]. C4 bumps are regularly plaed with a pith of 200 µm over the mm mm area [5]. Eah C4 bump has a resistane of 5 mω and indutane of 200 ph. Clustered via-last TSVs are distributed throughout the area as a 0 0 array and onnet the global power grid on eah plane. Eah TSV luster onsists of nine TSVs. A single via-last TSV is modeled as an RLC iruit [7] with the impedane values listed in Table II. As opposed to using pieewise linear (PWL) urrent soures to model the swithing load iruit (typial pratie in existing work [8]), gates with ative devies are used sine power gating is onsidered. Similar to [8], inverter pairs with varying size are used to model the swithing load iruit. The overall area is divided into 30 segments and a swithing iruit is onneted to eah segment to onsider the spatial heterogeneity of the urrent loads. The spatial load urrent distribution is determined based on [9], whih produes a peak power density of approximately 40 W/m 2 [20]. As an example, the load urrent distribution of the top plane is illustrated in Fig. 5 where the peak urrent for eah blok is indiated. The deoupling apaitors are implemented as MOS apaitors and distributed throughout the entire die area based on the spatial power supply noise distribution. B. Results on Power Integrity and Overhead The effiay of the proposed deoupling apaitor plaement topology is demonstrated by omparing the methodology with the traditional topology. Three power gating senarios are onsidered: Senario : All of the three planes are ative, representing the greatest workload. Senario 2: The top and bottom planes are ative, while the middle plane is power gated. Senario 3: Only the bottom plane is ative, while the middle and top planes are power gated. B. Area Overhead Area overhead is listed in Table III. For both topologies, the deoupling apaitors are sized to ensure that the

4 Node voltage (V) Time (ns) Fig. 6. Transient behavior of voltage noise at a speifi node within the bottom plane for eah topology for senario 3. (Unit of peak urrent: ma) Fig. 5. Current distribution within the top plane based on [9]. The numbers refer to the peak urrent drawn by the digital gates at eah node. TABLE III Comparison of the physial area overhead of the traditional and reonfigurable topologies. Transistors Swith Area 36.3 mm 2.87 nf N/A 6.70% 36.3 mm 3.20 nf 60 mm 8.25% worst ase power supply noise is within 5% of the V DD (50 mv) in senario. Note that the size of the deoupling apaitors, sleep transistors, and swithes (for the reonfigurable topology) in Table III refers to per plane. In the proposed topology, the area overhead inreases from 6.70% to 8.25% (by only.55%) due to reonfigurable swithes and higher deoupling apaitane required to ompensate for the shielding effet of the reonfigurable swithes. Note that all of the deoupling apaitors are implemented as MOS apaitors in 45 nm tehnology with an oxide thikness of nm [5]. This slight inrease in the physial area signifiantly enhanes power integrity when one or more planes are power gated, as desribed in the following subsetions. B.2 Power Supply Noise Power supply noise results are listed in Table IV for eah senario. Note that power supply noise is observed in the bottom plane. As listed in this table, when some of the planes are power gated (senarios 2 and 3), the reonfigurable topology ahieves less power supply noise by exploiting the apaitors of the power gated plane(s). For example, in senario 3 where two planes are power gated, the redution in peak noise is approximately 27% whereas the redution in RMS noise is approximately 46%. Note that in the traditional topology, the peak noise in senario 3 exeeds 50 mv despite the redution in overall swithing urrent due to power gating. This harateristi is due to less deoupling in the power network sine the deoupling apaitors in the power gated planes annot behave as harge reservoirs for the remaining, ative planes. This Node voltage (V) Time (ns) Fig. 7. Transient behavior of power gating noise at a speifi node within the bottom plane for eah topology for senario 3. observation justifies the need for reonfigurable apaitors. Transient behavior of voltage noise at a speifi node within the bottom plane is also depited in Fig. 6 for senario 3, demonstrating the redution in peak and RMS noise. B.3 Power Gating Noise To investigate power gating noise, the power gated middle plane transitions from inative to ative state in senarios 2 and 3, and the voltage flutuation due to in-rush urrent during the wake-up proess is analyzed. Peak power gating noise is observed at the bottom plane. Results are listed in Table IV. The reonfigurable topology ahieves more than 80% redution in peak and RMS power gating noise. In traditional topology, a signifiant amount of inrush urrent flows not only for the ativated iruit, but also to harge the assoiated deoupling apaitors. Alternatively, in the reonfigurable topology, the deoupling apaitors are onneted to the global V DD grid when the plane is power gated. Thus, even if the plane is power gated, these apaitors remain harged (signifiantly reduing in-rush urrent) and an behave as harge reservoir one the plane transitions to ative state. The transient behavior of the power gating noise is illustrated in Fig. 7 for senario 3 where the transition happens at ns.

5 TABLE IV Power supply noise and power gating noise obtained from eah senario and noise redution ahieved by proposed topology. Power status Power supply noise (mv) Power gating noise (mv) Top Mid. Btm. Peak RMS Peak Redtn. RMS Redtn. Peak RMS Peak Redtn. RMS Redtn. Senario on on on N/A % N/A N/A Senario 2 on off on % % % % Senario 3 off off on % % % % TABLE V Overall Average Power Consumption (when all of the deoupling apaitors are implemented as MOS apaitors) Power (mw) Power (mw) Overhead (%) Senario % Senario % Senario % B.4 Power Overhead To quantify the power overhead of the reonfigurable topology, both topologies are simulated for eah senario and the overall average power onsumption is determined. All of the deoupling apaitors are implemented as MOS apaitors to onsider MOS-C leakage. Results are listed in Table V. The smallest overhead ours in senario where all of the planes are ative. This overhead is due to inreased apaitane and swithes (for the reonfigurable topology only). Power overhead inreases to 5.85% in senario 3 due to the leakage urrent of MOS apaitors that are onneted to the global grid. The absolute power is, however, signifiantly smaller in this senario ompared with the peak power in senario. Thus, the maximum power inreases by only.36%. Also note that this power overhead an be further redued if low leakage deoupling apaitor implementations are adopted suh as metal-insulator-metal (MIM) apaitors. IV. Conlusions In 3D ICs with power gating, traditional deoupling apaitors within a power gated blok/plane are disonneted from the global grid, and therefore are ineffetive for neighboring ative bloks/planes. apaitors have been proposed to alleviate this issue and signifiantly enhane system-wide power integrity. Analysis results demonstrate up to 46% and 85% redution in, respetively, RMS power supply and power gating noise at the expense of.55% inrease in physial area and.36% inrease in peak power onsumption. Referenes [] V. F. Pavlidis and E. G. Friedman, Three-dimensional Integrated Ciruit Design. Morgan Kaufmann, 200. [2] E. Salman and E. G. Friedman, High Performane Integrated Ciruit Design. MGraw-Hill, 202. [3] G. Huang, M. Bakir, A. Naeemi, H. Chen, and J. D. Meindl, Power Delivery for 3D Chip Staks: Physial Modeling and Design Impliation, in Proeedings of the IEEE Eletrial Performane of Eletroni Pakaging, Otober 2007, pp [4] S. M. Satheesh and E. Salman, Power Distribution in TSV Based 3D Proessor-Memory Staks, IEEE Journal on Emerging and Seleted Topis in Ciruits and Systems, vol. 2, no. 4, pp , Deember 202. [5] M. Healy and S.-K. Lim, Distributed TSV Topology for 3-D Power-Supply Networks, IEEE Transations on Very Large Sale Integration (VLSI) Systems, vol. 20, no., pp , November 202. [6] P. Zhou, K. Sridharan, and S. Sapatnekar, Optimizing Deoupling Capaitors in 3D Ciruits for Power Grid Integrity, IEEE Design Test of Computers, vol. 26, no. 5, pp. 5 25, September [7] K. Kim, J. S. Pak, H. Lee, and J. Kim, Effets of On-hip Deoupling Capaitors and Silion Substrate on Power Distribution Networks in TSV-based 3D-ICs, in Proeedings of the IEEE Eletroni Components and Tehnology Conferene, 202, pp [8] H. Jiang, M. Marek-Sadowska, and S. Nassif, Benefits and Costs of Power-gating Tehnique, in Proeedings of IEEE International Conferene on Computer Design, Otober 2005, pp [9] A. Todri, S. Kundu, P. Girard, A. Bosio, L. Dilillo, and A. Virazel, A Study of Tapered 3-D TSVs for Power and Thermal Integrity, IEEE Transations on Very Large Sale Integration (VLSI) Systems, vol. 2, no. 2, pp , February 203. [0] M. Popovih, M. Sotman, A. Kolodny, and E. G. Friedman, Effetive Radii of On-Chip Deoupling Capaitors, IEEE Transations on Very Large Sale Integration (VLSI) Systems, vol. 6, no. 7, pp , July [] E. Salman, E. Friedman, R. Seareanu, and O. Hartin, Worst Case Power/Ground Noise Estimation Using an Equivalent Transition Time for Resonane, IEEE Transations on Ciruits and Systems I: Regular Papers, vol. 56, no. 5, pp , May [2] T. Xu, P. Li, and B. Yan, Deoupling for Power Gating: Soures of Power Noise and Design Strategies, in Proeedings of the ACM/IEEE Design Automation Conferene, June 20, pp [3] H. Wang and E. Salman, Power Gating Methodologies in TSV Based 3D Integrated Ciruits, in Proeedings of the ACM/IEEE Great Lakes Symposium on VLSI, May 203, pp [4] D.-S. Chiou, S.-H. Chen, and S.-C. Chang, Transistor Sizing for Leakage Power Minimization Considering Charge Balaning, IEEE Transations on Very Large Sale Integration (VLSI) Systems, vol. 7, no. 9, pp , [5] FreePDK45. [Online]. Available: /FreePDK45:Contents [6] M. Gupta, J. Oatley, R. Joseph, G.-Y. Wei, and D. Brooks, Understanding Voltage Variations in Chip Multiproessors using a Distributed Power-Delivery Network, in Proeedings of the Design, Automation Test in Europe Conferene Exhibition, April 2007, pp. 6. [7] I. Savidis and E. G. Friedman, Closed-Form Expressions of 3-D Via Resistane, Indutane, and Capaitane, IEEE Transations on Eletron Devies, vol. 56, no. 9, pp , September [8] X. Zhang, T. Tong, S. Kanev, S. Lee, G.-Y. Wei, and D. Brooks, Charaterizing and Evaluating Voltage Noise in Multi-Core Near-Threshold Proessors, in Proeedings of International Symposium on Low Power Eletronis and Design, September 203, pp [9] Q. Zhu, Power Distribution Network Design for VLSI. Wiley, [20] H. Wei, T. Wu, D. Sekar, B. Cronquist, R. Pease, and S. Mitra, Cooling Three-dimensional Integrated Ciruits Using Power Delivery Networks, in Proeedings of the IEEE International Eletron Devies Meeting, Deember 202, pp

Portable Marx Generator for Microplasma Applications

Portable Marx Generator for Microplasma Applications J. Plasma Fusion Res. SERIES, Vol. 8 (2009) Portable Marx Generator for Miroplasma Appliations T. UENO*, T. SAKUGAWA**, M. AKIYAMA**, T. NAMIHIRA**, S. KATSUKI** and H. AKIYAMA** *Department of Eletrial

More information

A New Broadband Microstrip-to-SIW Transition Using Parallel HMSIW

A New Broadband Microstrip-to-SIW Transition Using Parallel HMSIW JOURNAL OF ELECTROMAGNETIC ENGINEERING AND SCIENCE, VOL. 12, NO. 2, 171~175, JUN. 2012 http://dx.doi.org/10.5515/jkiees.2012.12.2.171 ISSN 2234-8395 (Online) ISSN 2234-8409 (Print) A New Broadband Mirostrip-to-

More information

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 51, NO. 2, FEBRUARY

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 51, NO. 2, FEBRUARY IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 51, NO. 2, FEBRUARY 2004 195 A Global Interonnet Optimization Sheme for Nanometer Sale VLSI With Impliations for Lateny, Bandwidth, and Power Dissipation Man

More information

Capacitor Voltage Control in a Cascaded Multilevel Inverter as a Static Var Generator

Capacitor Voltage Control in a Cascaded Multilevel Inverter as a Static Var Generator Capaitor Voltage Control in a Casaded Multilevel Inverter as a Stati Var Generator M. Li,J.N.Chiasson,L.M.Tolbert The University of Tennessee, ECE Department, Knoxville, USA Abstrat The widespread use

More information

TRANSISTORS: DYNAMIC CIRCUITS. Introduction

TRANSISTORS: DYNAMIC CIRCUITS. Introduction TRANSISTORS: DYNAMIC CIRCUITS Introdution The point of biasing a iruit orretly is that the iruit operate in a desirable fashion on signals that enter the iruit. These signals are perturbations about the

More information

Voltage Scalable Switched Capacitor DC-DC Converter for Ultra-Low-Power On-Chip Applications

Voltage Scalable Switched Capacitor DC-DC Converter for Ultra-Low-Power On-Chip Applications Voltage Salable Swithed Capaitor DC-DC Converter for Ultra-ow-Power On-Chip Appliations Yogesh K. amadass and Anantha P. Chandrakasan Mirosystems Tehnology aboratory Massahusetts Institute of Tehnology

More information

2011 IEEE. Reprinted, with permission, from David Dorrell, Design and comparison of 11 kv multilevel voltage source converters for local grid based

2011 IEEE. Reprinted, with permission, from David Dorrell, Design and comparison of 11 kv multilevel voltage source converters for local grid based 2 IEEE. Reprinted, with permission, from David Dorrell, Design and omparison of k multilevel voltage soure onverters for loal grid based renewable energy systems. IECON 2-37th Annual Conferene on IEEE

More information

Hierarchical Extreme-Voltage Stress Test of Analog CMOS ICs for Gate-Oxide Reliability Enhancement*

Hierarchical Extreme-Voltage Stress Test of Analog CMOS ICs for Gate-Oxide Reliability Enhancement* Hierarhial Extreme-Voltage Stress Test of Analog MOS Is for Gate-Oxide Reliability Enhanement* hin-long Wey Department of Eletrial Engineering National entral University hung-li, Taiwan lway@ee.nu.edu.tw

More information

CA8120 Georgia Institute of Technology Office -of Contract Administration PROJECT CLOSEOUT - NOTICE. Page: 1 20-JUL :41

CA8120 Georgia Institute of Technology Office -of Contract Administration PROJECT CLOSEOUT - NOTICE. Page: 1 20-JUL :41 CA8120 Georgia Institute of Tehnology Offie -of Contrat Administration PROJECT CLOSEOUT - OTICE Page: 1 20-JUL-1998 13:41 Closeout otie Date 20-JUL-199I Projet umber E-21-J66 Doh Id 47324 Center umber

More information

III. DESIGN OF CIRCUIT COMPONENTS

III. DESIGN OF CIRCUIT COMPONENTS ISSN: 77-3754 ISO 900:008 ertified Volume, Issue 5, November 0 Design and Analysis of a MOS 0.7V ow Noise Amplifier for GPS Band Najeemulla Baig, handu DS, 3 Satyanarayana hanagala, 4 B.Satish,3 Assoiate

More information

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2 Design Space Exploration for Robust Power Delivery in TSV Based 3-D Systems-on-Chip Suhas M. Satheesh High-Speed Fabrics Team NVIDIA Santa Clara, California 955 ssatheesh@nvidia.com Emre Salman Department

More information

A compact dual-band bandpass filter using triple-mode stub-loaded resonators and outer-folding open-loop resonators

A compact dual-band bandpass filter using triple-mode stub-loaded resonators and outer-folding open-loop resonators Indian Journal of Engineering & Materials Sienes Vol. 24, February 2017, pp. 13-17 A ompat dual-band bandpass filter using triple-mode stub-loaded resonators and outer-folding open-loop resonators Ming-Qing

More information

Texas Instruments Analog Design Contest

Texas Instruments Analog Design Contest Texas Instruments Analog Design Contest Oregon State University Group 23 DL Paul Filithkin, Kevin Kemper, Mohsen Nasroullahi 1. Written desription of the projet Imagine a situation where a roboti limb

More information

LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM

LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM Karthik Reddy. G Department of Eletronis and Communiation Engineering, G. Pulla Reddy Engineering ollege, Kurnool, A.P, India karthik.reddy401@gmail.om

More information

Finite-States Model Predictive Control with Increased Prediction Horizon for a 7-Level Cascade H-Bridge Multilevel STATCOM

Finite-States Model Predictive Control with Increased Prediction Horizon for a 7-Level Cascade H-Bridge Multilevel STATCOM Proeedings of The 2th World Multi-Conferene on Systemis, Cybernetis and Informatis (WMSCI 216) Finite-States Model Preditive Control with Inreased Predition Horizon for a 7-Level Casade H-Bridge Multilevel

More information

Integration of PV based DG Source in AC Microgrid with Interconnection to Grid

Integration of PV based DG Source in AC Microgrid with Interconnection to Grid Indian Journal of Siene and Tehnology, ol 8(3, DOI: 10.17485/ijst/015/v8i3/703, November 015 ISSN (Print : 0974-6846 ISSN (Online : 0974-5645 Integration of P based DG Soure in AC Mirogrid with Interonnetion

More information

Design Modification of Rogowski Coil for Current Measurement in Low Frequency

Design Modification of Rogowski Coil for Current Measurement in Low Frequency Design Modifiation of Rogowski Coil for Current Measurement in Low Frequeny M. Rezaee* and H. Heydari* Abstrat: The priniple objet of this paper is to offer a modified design of Rogowski oil based on its

More information

Application of TEM horn antenna in radiating NEMP simulator

Application of TEM horn antenna in radiating NEMP simulator Journal of Physis: Conferene Series Appliation of TEM horn antenna in radiating NEMP simulator To ite this artile: Yun Wang et al 013 J. Phys.: Conf. Ser. 418 010 View the artile online for updates and

More information

Considering Capacitive Component in the Current of the CSCT Compensator

Considering Capacitive Component in the Current of the CSCT Compensator Proeedings of the World Congress on Engineering and Computer Siene 8 WCECS 8, Otober - 4, 8, San Franiso, SA Considering Capaitive Component in the Current of the CSCT Compensator Mohammad Tavakoli Bina,

More information

Voltage collapse in low-power low-input voltage converters - A critical comparison

Voltage collapse in low-power low-input voltage converters - A critical comparison Proeedgs of the 6th WSEAS/IASME Int. Conf. on Eletri Power Systems, High oltages, Eletri Mahes, Tenerife, Spa, Deember 6-8, 006 334 oltage ollapse low-power low-put voltage onverters - A ritial omparison

More information

Overview and Comparison of Grid Harmonics and Conducted EMI Standards for LV Converters Connected to the MV Distribution System

Overview and Comparison of Grid Harmonics and Conducted EMI Standards for LV Converters Connected to the MV Distribution System 2012 Mesago PCIM GmbH Proeedings of the first Power Eletronis South Ameria 2012 Conferene and Exhibition (PCIM 2012), South Ameria, Saõ Paulo, Brazil, September 11-13, 2012 Overview and Comparison of Grid

More information

ACTIVE VIBRATION CONTROL OF AN INTERMEDIATE MASS: VIBRATION ISOLATION IN SHIPS

ACTIVE VIBRATION CONTROL OF AN INTERMEDIATE MASS: VIBRATION ISOLATION IN SHIPS ACTIVE VIBRATION CONTROL OF AN INTERMEDIATE MASS: VIBRATION ISOLATION IN SHIPS Xun Li, Ben S. Cazzolato and Colin H. Hansen Shool of Mehanial Engineering, University of Adelaide Adelaide SA 5005, Australia.

More information

Interference mitigation by distributed beam forming optimization

Interference mitigation by distributed beam forming optimization English Interferene mitigation by distributed beam forming optimization Matthias Kashub, Christian M. Blankenhorn, Christian M. Mueller and Thomas Werthmann Abstrat Inter-ell interferene is a major issue

More information

Power Distribution Paths in 3-D ICs

Power Distribution Paths in 3-D ICs Power Distribution Paths in 3-D ICs Vasilis F. Pavlidis Giovanni De Micheli LSI-EPFL 1015-Lausanne, Switzerland {vasileios.pavlidis, giovanni.demicheli}@epfl.ch ABSTRACT Distributing power and ground to

More information

The Design and Analysis of Non-Uniform Down-Sized Differential Distributed Amplifiers

The Design and Analysis of Non-Uniform Down-Sized Differential Distributed Amplifiers The Desin and Analysis of Non-Uniform Down-Sized Differential Distributed Amplifiers Ahmad Yazdi and ayam Heydari Department of EECS University of California, Irvine Irvine, CA 9697-65 Abstrat In this

More information

Location Fingerprint Positioning Based on Interval-valued Data FCM Algorithm

Location Fingerprint Positioning Based on Interval-valued Data FCM Algorithm Available online at www.sienediret.om Physis Proedia 5 (01 ) 1939 1946 01 International Conferene on Solid State Devies and Materials Siene Loation Fingerprint Positioning Based on Interval-valued Data

More information

An Adaptive Distance-Based Location Update Algorithm for PCS Networks

An Adaptive Distance-Based Location Update Algorithm for PCS Networks An Adaptive Distane-Based Loation Update Algorithm for PCS Networks Abstrat - In this paper, we propose a stohasti model to ompute the optimal update boundary for the distane-based loation update algorithm.

More information

5.8 Gb/s 16:1 multiplexer and 1:16 demultiplexer using 1.2 m BiCMOS

5.8 Gb/s 16:1 multiplexer and 1:16 demultiplexer using 1.2 m BiCMOS Downloaded from orbit.dtu.dk on: Mar 13, 218 5.8 Gb/s 16:1 multiplexer and 1:16 demultiplexer using 1.2 m BiCMOS Midtgaard, Jaob; Svensson, C. Published in: Proeedings of the IEEE International Symposium

More information

Helicon Resonator based Strong Magnetic Field Sensor

Helicon Resonator based Strong Magnetic Field Sensor 1.48/v148-11-9- MEASUREMENT SCIENCE REVIEW, Volume 11, No., 11 Helion Resonator based Strong Magneti Field Sensor. aurinavičius Department of Eletrial Engineering Vilnius Gediminas Tehnial University,

More information

Effect of Pulse Shaping on Autocorrelation Function of Barker and Frank Phase Codes

Effect of Pulse Shaping on Autocorrelation Function of Barker and Frank Phase Codes Columbia International Publishing Journal of Advaned Eletrial and Computer Engineering Researh Artile Effet of Pulse Shaping on Autoorrelation Funtion of Barker and Frank Phase Codes Praveen Ranganath

More information

Micro-Piezoelectric Head Technology of Color Inkjet Printer

Micro-Piezoelectric Head Technology of Color Inkjet Printer DPP2: International Conferene on Digital Prodution Printing and Industrial Appliations Miro-Piezoeletri Head Tehnology of Color Inkjet Printer Takao Mimura & Shinri Sakai SEIKO EPSON Corporation Shiojiri-shi,

More information

Photovoltaic Based Dynamic Voltage Restorer with Outage Handling Capability Using PI Controller

Photovoltaic Based Dynamic Voltage Restorer with Outage Handling Capability Using PI Controller Available online at www.sienediret.om Energy Proedia 12 (2011) 560 569 ICSGCE 2011: 27 30 September 2011, Chengdu, China Photovoltai Based Dynami Voltage Restorer with Outage Handling Capability Using

More information

Performance of Random Contention PRMA: A Protocol for Fixed Wireless Access

Performance of Random Contention PRMA: A Protocol for Fixed Wireless Access Int. J. Communiations, Network and System Sienes, 2011, 4, 417-423 doi:10.4236/ijns.2011.47049 Published Online July 2011 (http://www.sirp.org/journal/ijns) Performane of Random Contention PRMA: A Protool

More information

Capacitor Placement in Radial Distribution System for Improve Network Efficiency Using Artificial Bee Colony

Capacitor Placement in Radial Distribution System for Improve Network Efficiency Using Artificial Bee Colony RESEARCH ARTICLE OPEN ACCESS Capaitor Plaement in Radial Distribution System for Improve Network Effiieny Using Artifiial Bee Colony Mahdi Mozaffari Legha, Marjan Tavakoli, Farzaneh Ostovar 3, Milad Askari

More information

New Approach in Gate-Level Glitch Modelling *

New Approach in Gate-Level Glitch Modelling * New Approah in Gate-Level Glith Modelling * Dirk Rae Wolfgang Neel Carl von Ossietzky University Oldenurg OFFIS FB 1 Department of Computer Siene Esherweg 2 D-26111 Oldenurg, Germany D-26121 Oldenurg,

More information

A novel voltage reference without the operational amplifier and resistors

A novel voltage reference without the operational amplifier and resistors International Journal of Researh in Engineering and Siene (IJRES) ISSN (Online): 2320-9364, ISSN (Print): 2320-9356 Volume 3 Issue 12 ǁ eember. 2015 ǁ PP.13-19 A novel voltage referene without the operational

More information

Link-Level Analysis of Downlink Handover Regions in UMTS

Link-Level Analysis of Downlink Handover Regions in UMTS Link-Level Analysis of Downlink Handover Regions in UMTS Atta Ul Quddus, Xinjie Yang and Rahim Tafazolli, Member, IEEE Abstrat This paper investigates the downlink handover (soft/softer/hard) performane

More information

EFFICIENT IIR NOTCH FILTER DESIGN VIA MULTIRATE FILTERING TARGETED AT HARMONIC DISTURBANCE REJECTION

EFFICIENT IIR NOTCH FILTER DESIGN VIA MULTIRATE FILTERING TARGETED AT HARMONIC DISTURBANCE REJECTION EFFICIENT IIR NOTCH FILTER DESIGN VIA MULTIRATE FILTERING TARGETED AT HARMONIC DISTURBANCE REJECTION Control Systems Tehnology group Tehnishe Universiteit Eindhoven Eindhoven, The Netherlands Dennis Bruijnen,

More information

Considerations and Challenges in Real Time Locating Systems Design

Considerations and Challenges in Real Time Locating Systems Design Considerations and Challenges in Real Time Loating Systems Design Dr. Brian Gaffney DeaWave Ltd. Email: brian.gaffney@deawave.om Abstrat Real Time Loating Systems (RTLS) are a ombination of hardware and

More information

A Study on The Performance of Multiple-beam Antenna Satellite Receiving System Dezhi Li, Bo Zeng, Qun Wu*

A Study on The Performance of Multiple-beam Antenna Satellite Receiving System Dezhi Li, Bo Zeng, Qun Wu* 16 nd International Conferene on Mehanial, Eletroni and Information Tehnology Engineering (ICMITE 16) ISBN: 978-1-6595-34-3 A Study on The Performane of Multiple-beam Antenna Satellite Reeiving System

More information

Multi-Level DC/DC Power Conversion System with Multiple DC Sources Miaosen Shen

Multi-Level DC/DC Power Conversion System with Multiple DC Sources Miaosen Shen Multi-evel DC/DC Power Conversion System with Multiple DC Soures Miaosen Shen Siemens DO Automotive, miaosen.shen@siemens.om Fang Z. Peng Mihigan State University, fzpeng@egr.msu.edu eon M. Tolbert University

More information

A Novel Switched-Turn Continuously-Tunable Liquid RF MEMS Solenoid Inductor

A Novel Switched-Turn Continuously-Tunable Liquid RF MEMS Solenoid Inductor A Novel Swithed-Turn Continuously-Tunable Liquid RF MEMS Solenoid Indutor Fatemeh Banitorfian, Farshad Eshghabadi, Asrulnizam Abd Manaf, Norlaili Mohd Noh, Mohd Tafir Mustaffa, Othman Sidek Shool of Eletrial

More information

Multilevel Modular Converter for VSC-HVDC Transmission Applications: Control and Operational Aspects

Multilevel Modular Converter for VSC-HVDC Transmission Applications: Control and Operational Aspects 6th ATIOAL POWER SYSTEMS OFEREE, 5th-7th DEEMBER, 2 45 Multilevel Modular onverter for VS-HVD Transmission Appliations: ontrol and Operational Aspets dana. Gnanarathna, Aniruddha M. Gole Dept. of Eletrial

More information

A Digitally Controlled Oscillator for ADPLL Application. Wu Xiulong, Wang Faniu, Lin Zhiting, and Chen Junning

A Digitally Controlled Oscillator for ADPLL Application. Wu Xiulong, Wang Faniu, Lin Zhiting, and Chen Junning pplied Mehanis and Materials Online: 22--29 ISSN: 662-7482, Vols. 229-23, pp 55-58 doi:.428/www.sientifi.net/mm.229-23.55 22 Trans Teh ubliations, Switzerland Digitally Controlled Osillator for DLL ppliation

More information

REET Energy Conversion. 1 Electric Power System. Electric Power Systems

REET Energy Conversion. 1 Electric Power System. Electric Power Systems REET 2020 Energy Conversion 1 Eletri Power System Eletri Power Systems An Eletri Power System is a omplex network of eletrial omponents used to reliably generate, transmit and distribute eletri energy

More information

On-chip Integrated Antenna Structures 1n CMOS for 60 GHz WPAN Systems

On-chip Integrated Antenna Structures 1n CMOS for 60 GHz WPAN Systems IEEE Global Teleommuniations Conferene (Giobeom), Honolulu, HI, Nov. 30-De. 4, 2009, pp. 1-7. On-hip Integrated Antenna Strutures 1n CMOS for 60 GHz WPAN Systems Felix Gutierrez, Jr., Kristen Parrish,

More information

Compact Microstrip UWB Bandpass Filter with Triple-Notched Bands and Wide Upper Stopband

Compact Microstrip UWB Bandpass Filter with Triple-Notched Bands and Wide Upper Stopband Progress In Eletromagnetis Researh, Vol. 144, 185 191, 214 Compat Mirostrip UWB Bandpass Filter with Triple-Nothed Bands and Wide Upper Stopband Huaxia Peng 1, Junding Zhao 2, *, and Bing Wang 1 Abstrat

More information

Analysis and Design of an UWB Band pass Filter with Improved Upper Stop band Performances

Analysis and Design of an UWB Band pass Filter with Improved Upper Stop band Performances Analysis and Design of an UWB Band pass Filter with Improved Upper Stop band Performanes Nadia Benabdallah, 1 Nasreddine Benahmed, 2 Fethi Tari Bendimerad 3 1 Department of Physis, Preparatory Shool of

More information

Fully Joint Diversity Combining, Adaptive Modulation, and Power Control

Fully Joint Diversity Combining, Adaptive Modulation, and Power Control Fully Joint Diversity Combining, Adaptive Modulation, and Power Control Zied Bouida, Khalid A. Qaraqe, and Mohamed-Slim Alouini Dept. of Eletrial and Computer Eng. Texas A&M University at Qatar Eduation

More information

54. IWK Internationales Wissenschaftliches Kolloquium International Scientific Colloquium

54. IWK Internationales Wissenschaftliches Kolloquium International Scientific Colloquium 07-10 September 2009 PROCEEDINGS 54. IWK Internationales Wissenshaftlihes Kolloquium International Sientifi Colloquium Information Tehnology and Eletrial Engineering - Devies and Systems, Materials and

More information

Multilevel PWM Waveform Decomposition and Phase-Shifted Carrier Technique

Multilevel PWM Waveform Decomposition and Phase-Shifted Carrier Technique Multilevel PWM Waveform Deomposition and Phase-Shifted Carrier Tehnique R. Naderi* and A. Rahmati* Abstrat: Multilevel PWM waveforms an be deomposed into several multilevel PWM omponents. Phase-shifted

More information

CHAPTER 3 BER EVALUATION OF IEEE COMPLIANT WSN

CHAPTER 3 BER EVALUATION OF IEEE COMPLIANT WSN CHAPTER 3 EVALUATIO OF IEEE 8.5.4 COMPLIAT WS 3. OVERVIEW Appliations of Wireless Sensor etworks (WSs) require long system lifetime, and effiient energy usage ([75], [76], [7]). Moreover, appliations an

More information

General Analytical Model for Inductive Power Transfer System with EMF Canceling Coils

General Analytical Model for Inductive Power Transfer System with EMF Canceling Coils General Analytial odel for Indutive Power Transfer System with EF Caneling Coils Keita Furukawa, Keisuke Kusaka, and Jun-ihi Itoh Department of Eletrial Engineering, Nagaoka University of Tehnology, NUT

More information

A Circularly-Polarized Microstrip Antenna with Quad-Band Combination

A Circularly-Polarized Microstrip Antenna with Quad-Band Combination Progress In Eletromagnetis Researh Letters, Vol. 61, 31 38, 2016 A Cirularly-Polarized Mirostrip Antenna with Quad-Band Combination Wei Wang, Mengjiang Xing *, and Xuyue Guo Abstrat In this paper, a novel

More information

Power Efficient Transmission of Layered Video Through Wireless Relay Nodes

Power Efficient Transmission of Layered Video Through Wireless Relay Nodes MERL A MITSUBISHI ELECTRIC RESEARCH LABORATORY http://www.merl.om Power Effiient Transmission of Layered Video Through Wireless lay Nodes Zafer Sahinoglu and Phil Orlik TR-2003-52 July 2003 Abstrat Effiay

More information

SINGLE UNDERWATER IMAGE RESTORATION BY BLUE-GREEN CHANNELS DEHAZING AND RED CHANNEL CORRECTION

SINGLE UNDERWATER IMAGE RESTORATION BY BLUE-GREEN CHANNELS DEHAZING AND RED CHANNEL CORRECTION SINGLE UNDERWATER IMAGE RESTORATION BY BLUE-GREEN CHANNELS DEHAZING AND RED CHANNEL CORRECTION Chongyi Li 1, Jihang Guo 1, Yanwei Pang 1, Shanji Chen, Jian Wang 1,3 1 Tianjin University, Shool of Eletroni

More information

An Efficient 5-Input Exclusive-OR Circuit Based on Carbon Nanotube FETs

An Efficient 5-Input Exclusive-OR Circuit Based on Carbon Nanotube FETs An Effiient 5-Input Exlusive-OR Ciruit Based on Carbon Nanotube FETs Ronak Zarhoun, Mohammad Hossein Moaiyeri, Samira Shirinabadi Farahani, and Keivan Navi The integration of digital iruits has a tight

More information

A Novel Small-Signal Knowledge-Based Neural Network Modeling Approach for Packaged Transistors

A Novel Small-Signal Knowledge-Based Neural Network Modeling Approach for Packaged Transistors SR Journal o Eletrial and Eletronis Engineering (SR-JEEE) e-ssn: 78-676,p-SSN: -, Volume, ssue 5 Ver. (Sep. t. 8), PP 4-45 www.iosrjournals.org A Novel Small-Signal Knowledge-Based Neural Network Modeling

More information

and division (stretch).

and division (stretch). Filterg AC signals Topi areas Eletrial and eletroni engeerg: AC Theory. Resistane, reatane and impedane. Potential divider an AC iruit. Low pass and high pass filters. Mathematis: etor addition. Trigonometry.

More information

ANALYSIS OF A MULTIPLEX-BUS SYSTEM*

ANALYSIS OF A MULTIPLEX-BUS SYSTEM* ANALYSS OF A MULTPLEX-BUS SYSTEM* Syed Masud Mahmud, Devang G. Sheth and Harpreet Singh Eletrial and Computer Engineering Department Wayne State University, Detroit, M 4822, USA. Phone: (313) 577-3855

More information

Solar Storms Impact on High-Voltage Saturable-Core Transformers and Mitigation Methods

Solar Storms Impact on High-Voltage Saturable-Core Transformers and Mitigation Methods Solar Storms Impat on High-Voltage Saturable-Core Transformers and Mitigation Methods Rui Fan 1, Student Member, IEEE, Yu Liu 1, Student Member, IEEE, Zhenyu Tan 1, Student Member, IEEE, Liangyi Sun 1,

More information

Realization of AC-AC Converter Using Matrix Converter

Realization of AC-AC Converter Using Matrix Converter World Applied Sienes Journal 29 (9): 1117-1122, 2014 ISSN 1818-4952 IDOSI Publiations, 2014 DOI: 10.5829/idosi.wasj.2014.29.09.1402 Realization of AC-AC Converter Using Matrix Converter S. Subha Bharath

More information

Proton Damage in LEDs with Wavelengths Above the Silicon Wavelength Cutoff

Proton Damage in LEDs with Wavelengths Above the Silicon Wavelength Cutoff Proton Damage in EDs with Wavelengths Above the Silion Wavelength Cutoff Heidi N. Beker and Allan H. Johnston Jet Propulsion aboratory California Institute of Tehnology Pasadena, Califomia Abstrat Proton

More information

DECENTRALIZED BEAMFORMING FOR MASSIVE MU-MIMO ON A GPU CLUSTER

DECENTRALIZED BEAMFORMING FOR MASSIVE MU-MIMO ON A GPU CLUSTER DECENTRALIZED BEAMFORMING FOR MASSIVE MU-MIMO ON A GPU CLUSTER Kaipeng Li 1, Rishi Sharan 2, Yujun Chen 1, Joseph R. Cavallaro 1, Tom Goldstein 3, and Christoph Studer 2 1 Department of Eletrial and Computer

More information

A High Frequency Battery Model for Current Ripple Analysis

A High Frequency Battery Model for Current Ripple Analysis A High Frequeny Battery Model for Current Ripple Analysis Jin Wang* Ke Zou Departent of Eletrial and Coputer Engineering The Ohio State University Colubus, OH, USA *Wang@ee.osu.edu Chinghi Chen* Lihua

More information

Flexible Folded FIR Filter Architecture

Flexible Folded FIR Filter Architecture Flexible Folded FIR Filter Arhiteture I. Milentijevi, V. Ciri, O. Vojinovi Abstrat - Configurable folded bit-plane arhiteture for FIR filtering that allows programming of both number of taps and oeffiient

More information

Modeling and Simulation of Unified Power Quality Conditioner (UPQC)

Modeling and Simulation of Unified Power Quality Conditioner (UPQC) International Journal of Engineering and dvaned Tehnology (IJET) ISSN: 49 8958, Volume3 Issue6, ugust 04 Modeling and Simulation of Unified Power Quality onditioner (UPQ) Manoj D. Kharad, Naveen Kumar

More information

2. PRELIMINARY ANALYSIS

2. PRELIMINARY ANALYSIS New Paradigm for Low-power, Variation-Tolerant Ciruit Synthesis Using Critial Path Isolation Swaroop Ghosh, Swarup Bhunia*, and, Kaushik Roy Shool of Eletrial and Computer Engineering, Purdue University,

More information

Design, Implementation and Simulation of Non-Intrusive Sensor for On-Line Condition Monitoring of MV Electrical Components

Design, Implementation and Simulation of Non-Intrusive Sensor for On-Line Condition Monitoring of MV Electrical Components Engineering, 2014, 6, 680-691 Published Online Otober 2014 in SiRes. http://www.sirp.org/journal/eng http://dx.doi.org/10.4236/eng.2014.611067 Design, Implementation and Simulation of Non-Intrusive Sensor

More information

GAPPED ALTERNATE PHASED OPPOSITE DISPOSITION- PULSE WIDTH MODULATION CONTROL FOR MULTILEVEL INVERTERS

GAPPED ALTERNATE PHASED OPPOSITE DISPOSITION- PULSE WIDTH MODULATION CONTROL FOR MULTILEVEL INVERTERS VOL. 9, NO. 4, APRIL 014 ISSN 1819-6608 006-014 Asian Researh Publishing Network (ARPN). All rights reserved. GAPPED ALTERNATE PHASED OPPOSITE DISPOSITION- PULSE WIDTH MODULATION CONTROL FOR MULTILEVEL

More information

IN the RF (Radio Frequency)-challenged environments, Increasing the Capacity of Magnetic Induction Communications in RF-Challenged Environments

IN the RF (Radio Frequency)-challenged environments, Increasing the Capacity of Magnetic Induction Communications in RF-Challenged Environments IEEE TRANSACTIONS ON COMMUNICATIONS, VOL. 6, NO. 9, SEPTEMBER 3 3943 Inreasing the Capaity of Magneti Indution Communiations in RF-Challenged Environments Zhi Sun, Member, IEEE, Ian F. Akyildiz, Fellow,

More information

Prediction Method for Channel Quality Indicator in LEO mobile Satellite Communications

Prediction Method for Channel Quality Indicator in LEO mobile Satellite Communications Predition Method for Channel Quality Indiator in LEO mobile Satellite Communiations Yadan Zheng *, Mingke Dong *, Wei Zheng *, Ye Jin *, Jianjun Wu * * Institution of Advaned Communiations, Peking University,

More information

+,*+)5(48(1&</803('3$5$0(7(502'(/)25$&02725:,1',1*6

+,*+)5(48(1&</803('3$5$0(7(502'(/)25$&02725:,1',1*6 ,*)(48(&/83('3$$(7('(/)$&7:,',*6 G. Grandi *, D. Casadei *, A. Massarini ** * Dept. of Eletrial Engineering, viale Risorgimento, 436, Bologna - Italy ** Dept. of Engineering Sienes, via Campi, 3/B, 4,

More information

Microgrid: Islanding and Grid Connected Mode of Operation Based on Unified Control Strategy

Microgrid: Islanding and Grid Connected Mode of Operation Based on Unified Control Strategy International Journal of Eletrial Eletronis omputers & Mehanial Engineering (IJEEM) ISSN: 2278-2808 Volume 2 Issue 6 ǁ De. 2015. IJEEM journal of Eletrial Engineering (ijeem-jee) Mirogrid: Islanding and

More information

Power Budgeted Packet Scheduling for Wireless Multimedia

Power Budgeted Packet Scheduling for Wireless Multimedia Power Budgeted Paket Sheduling for Wireless Multimedia Praveen Bommannavar Management Siene and Engineering Stanford University Stanford, CA 94305 USA bommanna@stanford.edu Niholas Bambos Eletrial Engineering

More information

Dispersion and Dispersion Slope Compensation of an Optical Delay Line Filter (DLF) based on Mach-Zehnder Interferometers

Dispersion and Dispersion Slope Compensation of an Optical Delay Line Filter (DLF) based on Mach-Zehnder Interferometers Dispersion and Dispersion Slope Compensation of an Optial Delay Line Filter (DLF) based on Mah-Zehnder Interferometers P.Pavithra 1, K.Karthika 2 1,2 Department of Eletronis and Communiation Engineering,

More information

Demonstration of Measurement Derived Model-Based Adaptive Wide-Area Damping Controller on Hardware Testbed USA. China USA

Demonstration of Measurement Derived Model-Based Adaptive Wide-Area Damping Controller on Hardware Testbed USA. China USA 2, rue d Artois, F-758 PARIS CIGRE US National Committee http : //www.igre.org 25 Grid of the Future Symposium Demonstration of Measurement Derived Model-Based Adaptive Wide-Area Damping Controller on

More information

Simulator Based Simplified Design Approach of a CMOS 2-Stage Opamp

Simulator Based Simplified Design Approach of a CMOS 2-Stage Opamp IASIT International Journal of Engineering and Tehnology, Vol. 4, No. 6, Deember 2012 Simulator Based Simplified Design Approah of a MOS 2-Stage Opamp Ashis Kumar Mal, Abirjyoti Mondal, Om Prakash Hari,

More information

A Quadrature Downconversion Autocorrelation Receiver Architecture for UWB

A Quadrature Downconversion Autocorrelation Receiver Architecture for UWB A Quadrature Downonversion Autoorrelation Reeiver Arhiteture for UWB Simon Lee, Sumit Bagga, Wouter A. Serdijn Eletronis Researh Laboratory, Faulty of Eletrial Engineering, Mathematis and Computer Siene

More information

Reliability Analysis of a Modern Power Supply under Nuclear Radiation Effects

Reliability Analysis of a Modern Power Supply under Nuclear Radiation Effects Reliability Analysis of a Modern Power Supply under Nulear Radiation Effets R.D.Kulkarni Vivek Agarwaf Department of Eletrial Engineering lndian Institute of TehnologyBombay Powai, Mumbai 400 076, India

More information

Revision: April 18, E Main Suite D Pullman, WA (509) Voice and Fax

Revision: April 18, E Main Suite D Pullman, WA (509) Voice and Fax Lab 9: Steady-state sinusoidal response and phasors Revision: April 18, 2010 215 E Main Suite D Pullman, WA 99163 (509) 334 6306 Voie and Fax Overview In this lab assignment, we will be onerned with the

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntehOpen, the world s leading publisher of Open Aess books Built by sientists, for sientists 3,800 6,000 10M Open aess books available International authors and editors Downloads Our authors are

More information

Digitally Demodulating Binary Phase Shift Keyed Data Signals

Digitally Demodulating Binary Phase Shift Keyed Data Signals Digitally Demodulating Binary Phase Shift Keyed Signals Cornelis J. Kikkert, Craig Blakburn Eletrial and Computer Engineering James Cook University Townsville, Qld, Australia, 4811. E-mail: Keith.Kikkert@ju.edu.au,

More information

Detecting Moving Targets in SAR Via Keystoning and Phase Interferometry

Detecting Moving Targets in SAR Via Keystoning and Phase Interferometry 5 The MITRE Corporation. All rights reserved. Approved for Publi Release; Distribution Unlimited. Deteting Moving Targets in SAR Via Keystoning and Phase Interferometry Dr. P. K. Sanyal, Dr. D. M. Zasada,

More information

Selection strategies for distributed beamforming optimization

Selection strategies for distributed beamforming optimization EUROPEAN COOPERATION IN THE FIELD OF SCIENTIFIC AND TECHNICAL RESEARCH COST 2100 TD(10)11036 Ålborg, Denmark 2010/June/02-04 EURO-COST SOURCE: Institute of Communiation Networks and Computer Engineering

More information

A Single-Stage High-Frequency Isolated Three-Phase AC/DC Converter

A Single-Stage High-Frequency Isolated Three-Phase AC/DC Converter 1 A Single-Stage High-Freueny Isolated Three-Phase AC/DC nverter Diego Santos Greff and Ivo Barbi* *I Senior Member Federal University of Santa Caratina/Power letronis Institute Florianopolis, SC, 884-97,

More information

Design and Implementation of a 2-GHz Low-Power CMOS Receiver for WCDMA Applications

Design and Implementation of a 2-GHz Low-Power CMOS Receiver for WCDMA Applications Design and Implementation of a 2-GHz Low-Power CMOS Reeiver for WCDMA Appliations Dennis Yee, David Sobel, Chinh Doan, Brian Limketkai, Johan Vanderhaegen, and Robert Brodersen Berkeley Wireless Researh

More information

Application of Grid-Converter to Direct-Driven Wind Turbine Technology

Application of Grid-Converter to Direct-Driven Wind Turbine Technology Advaned Siene and Tehnology Letters Vol.73 (FGCN 014), pp.99-106 http://dx.doi.org/10.1457/astl.014.73.15 Appliation of Grid-Converter to Diret-Driven Wind Turine Tehnology Gu Cailian 1, Ji Jianwei 1,

More information

A 24 GHz Band FM-CW Radar System for Detecting Closed Multiple Targets with Small Displacement

A 24 GHz Band FM-CW Radar System for Detecting Closed Multiple Targets with Small Displacement A 24 GHz Band FM-CW Radar System for Deteting Closed Multiple Targets with Small Displaement Kazuhiro Yamaguhi, Mitsumasa Saito, Takuya Akiyama, Tomohiro Kobayashi and Hideaki Matsue Tokyo University of

More information

In the system, however, after the 350 ~s risetime of the pulse to ~10 MA, either cables or cable adaptors experience failures at approximately 10C kv.

In the system, however, after the 350 ~s risetime of the pulse to ~10 MA, either cables or cable adaptors experience failures at approximately 10C kv. PERFORMANCE OF THE LAGUNA PULSED POWER SYSTEM* J. H. Goforth, R. S. Caird, C. M. Fowler, A. E. Greene, H. W. Kruse, I. R. Lindemuth, H. Oona, and R. E. Reinovsky Los Alamos National Laboratory Los Alamos,

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntehOpen, the world s leading publisher of Open Aess boos Built by sientists, for sientists 3,5 8,.7 M Open aess boos available International authors and editors Downloads Our authors are among

More information

Average Current Mode Interleaved PFC Control

Average Current Mode Interleaved PFC Control Freesale Semiondutor, n. oument Number: AN557 Appliation Note ev. 0, 0/06 Average Current Mode nterleaved PFC Control heory of operation and the Control oops design By: Petr Frgal. ntrodution Power Fator

More information

SUPERCAPACITORS ENERGY STORAGE BASED DSTATCOM MODELING AND SIMULATION FOR DISTRIBUTION SYSTEM

SUPERCAPACITORS ENERGY STORAGE BASED DSTATCOM MODELING AND SIMULATION FOR DISTRIBUTION SYSTEM SUPERPITORS ENERGY STORGE SED DSTTOM MODELING ND SIMULTION FOR DISTRIUTION SYSTEM Kuldeep SHY and harti DWIVEDI Department of Eletrial Engineering, Institute of Engineering & Tehnology, Luknow, Uttar Pradesh

More information

Sensor Network with Data Transfer over Power Supply Wires

Sensor Network with Data Transfer over Power Supply Wires Sensor Network with Data Transfer over Power Supply Wires Vašek V., Dolinay J. and Dostálek P. Abstrat This artile desribes system whih allows reating sensor networks where sensors ommuniate with a entral

More information

DESIGN AND PERFORMANCE ANALYSIS OF BAND PASS IIR FILTER FOR SONAR APPLICATION

DESIGN AND PERFORMANCE ANALYSIS OF BAND PASS IIR FILTER FOR SONAR APPLICATION International Journal of Emerging Tehnologies and Engineering (IJETE) ISSN: 238 8 ICRTIET-21 Conferene Proeeding, 3 th -31 st August 21 11 DESIGN AND PERFORMANCE ANALYSIS OF BAND PASS IIR FILTER FOR SONAR

More information

ADVANCE MITSUBISHI ELECTRIC CONTENTS. High Frequency and Optical Devices. Technical Reports. Sep / Vol Overview...

ADVANCE MITSUBISHI ELECTRIC CONTENTS. High Frequency and Optical Devices. Technical Reports. Sep / Vol Overview... Sep. 29 / Vol. 127 MITSUBISHI ELECTRIC ADVANCE High Frequeny and Optial Devies Editorial-Chief Kiyoshi Takakuwa Editorial Advisors Chisato Kobayashi Kanae Ishida Makoto Egashira Koji Yasui Hiroaki Kawahi

More information

Design and Simulation of Distributed Statcom Controller for Power Factor Improvement

Design and Simulation of Distributed Statcom Controller for Power Factor Improvement Design and Simulation of Distributed Statom Controller for Power Fator Improvement Swagat Satapathy M.Teh, Dept of EEE, Centurion University. Abstrat: The STATCOM is a stati reative power ompensator. It

More information

A Carrier-Based Neutral Voltage Modulation Strategy for Eleven Level Cascaded Inverter under Unbalanced Dc Sources

A Carrier-Based Neutral Voltage Modulation Strategy for Eleven Level Cascaded Inverter under Unbalanced Dc Sources International Journal of Researh (IJR) e-issn: 2348-6848, p- ISSN: 2348-795X Volume 2, Issue 12, Deember 2015 Available at http://internationaljournalofresearh.org A Carrier-Based Neutral Voltage Modulation

More information

A Robust Image Restoration by Using Dark channel Removal Method

A Robust Image Restoration by Using Dark channel Removal Method Volume 6, Issue 3, Marh 2017, ISSN: 2278 1323 A Robust Image Restoration by Using Dark hannel Removal Method Ankit Jain 1 (MTeh. sholar), Prof. Mahima Jain 2 Department Of Computer Siene And Engineering,

More information

An Ontology-based Validation Approach to Resolve Conflicts in Manufacturing Design Process

An Ontology-based Validation Approach to Resolve Conflicts in Manufacturing Design Process An Ontology-based Validation Approah to Resolve Conflits in Manufaturing Design Proess Kazunari Hashimoto, Motoyuki Takaai, Yohei Yamane, Seiji Suzuki, Masao Watanabe, and Hiroshi Umemoto Researh and Tehnology

More information