SpringerBriefs in Electrical and Computer Engineering

Size: px
Start display at page:

Download "SpringerBriefs in Electrical and Computer Engineering"

Transcription

1 SpringerBriefs in Electrical and Computer Engineering For further volumes:

2

3 Vikram Arkalgud Chandrasetty VLSI Design A Practical Guide for FPGA and ASIC Implementations

4 Vikram Arkalgud Chandrasetty University of South Australia Adelaide, Australia ISSN e-issn ISBN e-isbn DOI / Springer New York Dordrecht Heidelberg London Library of Congress Control Number: Springer Science+Business Media, LLC 2011 All rights reserved. This work may not be translated or copied in whole or in part without the written permission of the publisher (Springer Science+Business Media, LLC, 233 Spring Street, New York, NY 10013, USA), except for brief excerpts in connection with reviews or scholarly analysis. Use in connection with any form of information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology now known or hereafter developed is forbidden. The use in this publication of trade names, trademarks, service marks, and similar terms, even if they are not identified as such, is not to be taken as an expression of opinion as to whether or not they are subject to proprietary rights. Printed on acid-free paper Springer is part of Springer Science+Business Media (

5 To My Family and Friends

6

7 Preface The area of VLSI design has gained enormous popularity over the past few decades due to the rapid advancements in integrated circuit (IC) design and technology. The ability to produce miniaturized circuits with high performance in terms of power and speed is the reason for its popularity. Low production cost and advanced techniques for reduced time-to-market adds to the ever-growing demand for ICs. The two major IC design flows FPGA and ASIC have their own advantages and disadvantages. FPGAs are widely used for quick prototyping and also implementation of various multimedia applications by compromising power, area and speed performance with substantially reduced time-to-market and cost factors. Using ASIC technology, it has been possible to develop high performance multi-core processors. Verification and testing of such complex designs is a critical and challenging task to ensure the quality of the resulting circuits. The advances in EDA software and CAD tools alleviate the effort necessary to carry out the cumbersome design and verification process of ICs. As we understand that the subject of VLSI design is vast, it is quite complex to find and comprehend the complete details about the design process. This book VLSI Design: A practical guide for FPGA and ASIC implementations provides an insight into practical design of VLSI circuits with minimal theoretical arguments. While this publication is not a complete text book on VLSI design, it is intended to serve as supplementary or reference material on practical design and implementation of VLSI circuits. The content of the book is focused for novice VLSI designers and other enthusiasts who would like to understand the VLSI practical design flows. The designs are demonstrated using industry standard software from MATLAB, Mentor Graphics, Xilinx, Synopsys and Cadence. I encourage you to send any errata or feedback for improving the quality of this book to vikramac@ieee.org. Thank you, Adelaide, Australia Vikram Arkalgud Chandrasetty vii

8

9 Contents 1 CMOS Digital Design Design of CMOS SRAM Cell and Array Plan of SRAM Cell and Array Design of 6 Transistor SRAM Cell Simulations of SRAM Cell Layout of SRAM Cell Design of SRAM Array Simulation of SRAM Array Design of SRAM Chip Circuit Elements SRAM Chip Circuit Elements Design of Complete SRAM Chip Simulations of Complete SRAM Chip Delay Extraction for SRAM Chip Write/Read Operation Re-Design of SRAM Chip for Low Power Consumption Appendix References FPGA Application Design Design of Direct Sequence-Spread Spectrum System PN Sequence Generator Transmitter for Direct Sequence-Spread Spectrum System Receiver for Direct Sequence-Spread Spectrum System FIR Filter Design Concepts of FIR Filter Low Pass FIR Filter Design Distributed Arithmetic Architecture Simulation and Synthesis Results ix

10 x Contents 2.3 Discrete Cosine Transform Algorithms Concepts of DCT DCT Architectures on FPGA Scaled 1-D 8-Point DCT Architecture Simulation and Synthesis Results Convolution Codes and Viterbi Decoding Concepts of Convolution Codes Viterbi Decoder Simulation and Synthesis Results Appendix References ASIC Design ASIC Front-End Memory Design Introduction Memory Architecture and Specifications Implementation and Simulations Results Analysis and Conclusion ASIC Front-End Matrix Multiplier Design Introduction Problem Statement Matrix Multiplier Design Implementation and Simulations Analysis of Results and Conclusion Physical Design of Matrix Multiplier Introduction to Systolic Array Matrix Multiplier Physical Design Flow Results and Conclusion Appendix References Analog and Mixed Signal Design Schematic Design of OPAMP Introduction Two Stage OPAMP Design Results Layout Design of OPAMP Introduction Layout Design Summary and Results Appendix References About the Author

11 Abbreviations ADC ASIC ATM AWGN BJT BPSK CAD CDMA CDR CMOS CORDIC CP CTO CTS DAA DAC DCT DEF DFM DFT DRAM DRC DSPF DSSS DTC DTFS DUT DWT Analog to Digital Converter Application Specific Integrated Circuit Asynchronous Transfer Mode Additive White Gaussian Noise Bipolar Junction Transistor Binary Phase Shift Keying Computer Aided Design Code Division Multiple Access Clock Data Recovery Complementary Metal Oxide Semiconductor Coordinate Rotation Digital Computer Charge Pump Clock Tree Optimization Clock Tree Synthesis Distributed Arithmetic Architecture Digital to Analog Converter Discrete Cosine Transform Design Exchange Format Design For Manufacturability Design For Testability Dynamic Random Access Memory Design Rule Check Detailed Standard Parasitic Format Direct Sequence Spread Spectrum Divide by Two Circuit Deflash Trim Form Singulation Device Under Test Discrete Wavelet Transform xi

12 xii Abbreviations EDA EEPROM ERC FDA FEC FF FFT FIR FPGA FSM GDS II GUI HDL ICMR IGFET IOV ITF ITRS JFET JPEG LEF LFSR LP LPE LSB LUT LVS MAC MBE MEMS MOSFET MOSIS MPEG MSB OVS PFD PG PIT PLL PN PPO PWM Electronic Design Automation Electrically Erasable Programmable Read Only Memory Electrical Rule Check Functional Data Analysis Forward Error Correction Codes Flip Flop Fast Fourier Transform Finite Impulse Response Field Programmable Gate Array Finite State Machine Graphic Data System II Graphical User Interface Hardware Description Language Input Common Mode Range Insulated Gate Field Effect Transistor Input Offset Voltage Interconnect Technology Format International Road Map for Semiconductors Junction Field Effect Transistor Joint Photographic Experts Group Library Exchange Format Linear Feedback Shift Register Low Pass Layout Parasitic Extraction Least Significant Bit Look Up Table Layout Versus Schematic Multiply And Accumulate Molecular Beam Epitaxy Mico Electro Mechanical System Metal Oxide Semiconductor Field Effect Transistor Metal Oxide Semiconductor Implementation Service Moving Picture Experts Group Most Significant Bit Output Voltage Swing Phase Frequency Detector Power Ground Progressive Image Transmission Phase Locked Loop Pseudo-random Noise Post Placement Optimization Pulse Width Modulation

13 Abbreviations xiii QAM QDR QPSK RC RF ROM RTL SDC SDF SNR SOI SOP SPEF SRAM STA TDF TLU TSMC TS-OPAMP USB VCD VCO Quadrature Amplitude Modulation Quad Data Rate Quadrature Phase Shift Keying Resistance Capacitance Radio Frequency Read Only Memory Register Transfer Level Synopsys Design Constraint Standard Delay Format Signal to Noise Ratio Silicon On Insulator Sum Of Products Standard Parasitic Exchange Format Static Random Access Memory Static Timing Analysis Top Design Format Table Look Up Taiwan Semiconductor Manufacturing Company Two Stage Operational Amplifier Universal Serial Bus Value Change Dump Voltage Controlled Oscillator

14

SpringerBriefs in Electrical and Computer Engineering

SpringerBriefs in Electrical and Computer Engineering SpringerBriefs in Electrical and Computer Engineering For further volumes: http://www.springer.com/series/10059 Vikram Arkalgud Chandrasetty VLSI Design A Practical Guide for FPGA and ASIC Implementations

More information

Minimizing Spurious Tones in Digital Delta-Sigma Modulators

Minimizing Spurious Tones in Digital Delta-Sigma Modulators Minimizing Spurious Tones in Digital Delta-Sigma Modulators ANALOG CIRCUITS AND SIGNAL PROCESSING Series Editors: Mohammed Ismail Mohamad Sawan For other titles published in this series, go to http://www.springer.com/series/7381

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS by Yves Geerts Alcatel Microelectronics, Belgium Michiel Steyaert KU Leuven, Belgium and Willy Sansen KU Leuven,

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

CMOS Test and Evaluation

CMOS Test and Evaluation CMOS Test and Evaluation Manjul Bhushan Mark B. Ketchen CMOS Test and Evaluation A Physical Perspective Manjul Bhushan OctEval Hopewell Junction, NY, USA Mark B. Ketchen OcteVue Hadley, MA, USA ISBN 978-1-4939-1348-0

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

SpringerBriefs in Electrical and Computer Engineering

SpringerBriefs in Electrical and Computer Engineering SpringerBriefs in Electrical and Computer Engineering More information about this series at http://www.springer.com/series/10059 David Fouto Nuno Paulino Design of Low Power and Low Area Passive Sigma

More information

Variation Tolerant On-Chip Interconnects

Variation Tolerant On-Chip Interconnects Variation Tolerant On-Chip Interconnects ANALOG CIRCUITS AND SIGNAL PROCESSING Series Editors: Mohammed Ismail. The Ohio State University Mohamad Sawan. École Polytechnique de Montréal For further volumes:

More information

High-Linearity CMOS. RF Front-End Circuits

High-Linearity CMOS. RF Front-End Circuits High-Linearity CMOS RF Front-End Circuits Yongwang Ding Ramesh Harjani iigh-linearity CMOS tf Front-End Circuits - Springer Library of Congress Cataloging-in-Publication Data A C.I.P. Catalogue record

More information

22. VLSI in Communications

22. VLSI in Communications 22. VLSI in Communications State-of-the-art RF Design, Communications and DSP Algorithms Design VLSI Design Isolated goals results in: - higher implementation costs - long transition time between system

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Aim. Unit abstract. Learning outcomes. QCF level: 6 Credit value: 15

Aim. Unit abstract. Learning outcomes. QCF level: 6 Credit value: 15 Unit T3: Microelectronics Unit code: A/503/7339 QCF level: 6 Credit value: 15 Aim The aim of this unit is to give learners an understanding of the manufacturing processes for and the purposes and limitations

More information

THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS

THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS Journal of ELECTRICAL ENGINEERING, VOL. 60, NO. 1, 2009, 43 47 THE DESIGN OF A PLC MODEM AND ITS IMPLEMENTATION USING FPGA CIRCUITS Rastislav Róka For the exploitation of PLC modems, it is necessary to

More information

ANALOG CIRCUITS AND SIGNAL PROCESSING

ANALOG CIRCUITS AND SIGNAL PROCESSING ANALOG CIRCUITS AND SIGNAL PROCESSING Series Editors Mohammed Ismail, The Ohio State University Mohamad Sawan, École Polytechnique de Montréal For further volumes: http://www.springer.com/series/7381 Yongjian

More information

Acronyms. ADC analog-to-digital converter. BEOL back-end-of-line

Acronyms. ADC analog-to-digital converter. BEOL back-end-of-line Acronyms ADC analog-to-digital converter BEOL back-end-of-line CDF cumulative distribution function CMOS complementary metal-oxide-semiconductor CPU central processing unit CR charge-redistribution CS

More information

SPIRO SOLUTIONS PVT LTD

SPIRO SOLUTIONS PVT LTD VLSI S.NO PROJECT CODE TITLE YEAR ANALOG AMS(TANNER EDA) 01 ITVL01 20-Mb/s GFSK Modulator Based on 3.6-GHz Hybrid PLL With 3-b DCO Nonlinearity Calibration and Independent Delay Mismatch Control 02 ITVL02

More information

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog FPGA Implementation of Digital Techniques BPSK and QPSK using HDL Verilog Neeta Tanawade P. G. Department M.B.E.S. College of Engineering, Ambajogai, India Sagun Sudhansu P. G. Department M.B.E.S. College

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

Analog Circuits and Signal Processing

Analog Circuits and Signal Processing Analog Circuits and Signal Processing Series Editors Mohammed Ismail Department of Electrical & Computer Engineering, The Ohio State University, Dublin, Ohio, USA Mohamad Sawan École Polytechnique de Montréal,

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

Preface... iii. Chapter 1: Diodes and Circuits... 1

Preface... iii. Chapter 1: Diodes and Circuits... 1 Table of Contents Preface... iii Chapter 1: Diodes and Circuits... 1 1.1 Introduction... 1 1.2 Structure of an Atom... 2 1.3 Classification of Solid Materials on the Basis of Conductivity... 2 1.4 Atomic

More information

METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS

METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS with Case Studies by Marc Pastre Ecole Polytechnique Fédérale

More information

TRANSISTOR CIRCUITS FOR SPACECRAFT POWER SYSTEM

TRANSISTOR CIRCUITS FOR SPACECRAFT POWER SYSTEM TRANSISTOR CIRCUITS FOR SPACECRAFT POWER SYSTEM Transistor Circuits for Spacecraft Power System KengC. Wu Lockheed Martin Naval Electronics & Surveillance Systems Moorestown, NJ, USA.., ~ SPRINGER SCIENCE+BUSINESS

More information

Lecture 3: Logic circuit. Combinational circuit and sequential circuit

Lecture 3: Logic circuit. Combinational circuit and sequential circuit Lecture 3: Logic circuit Combinational circuit and sequential circuit TRAN THI HONG HONG@IS.NAIST.JP Content Lecture : Computer organization and performance evaluation metrics Lecture 2: Processor architecture

More information

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated

In 1951 William Shockley developed the world first junction transistor. One year later Geoffrey W. A. Dummer published the concept of the integrated Objectives History and road map of integrated circuits Application specific integrated circuits Design flow and tasks Electric design automation tools ASIC project MSDAP In 1951 William Shockley developed

More information

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX

1. Introduction. Institute of Microelectronic Systems. Status of Microelectronics Technology. (nm) Core voltage (V) Gate oxide thickness t OX Threshold voltage Vt (V) and power supply (V) 1. Introduction Status of s Technology 10 5 2 1 0.5 0.2 0.1 V dd V t t OX 50 20 10 5 2 Gate oxide thickness t OX (nm) Future VLSI chip 2005 2011 CMOS feature

More information

Development of Algorithm and Architecture of Demodulator for Processing Satellite Data Communication

Development of Algorithm and Architecture of Demodulator for Processing Satellite Data Communication i Development of Algorithm and Architecture of Demodulator for Processing Satellite Data Communication THESIS Submitted by K. R. NATARAJ For the award of the degree Of DOCTOR OF PHILOSOPHY DEPARTMENT OF

More information

The Designer s Guide to Jitter in Ring Oscillators

The Designer s Guide to Jitter in Ring Oscillators The Designer s Guide to Jitter in Ring Oscillators The Designer s Guide Book Series Series Editor: Ken Kundert Cadence Design Systems San Jose, CA USA The Designer s Guide to Jitter in Ring Oscillators

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 1.1 Historical Background Recent advances in Very Large Scale Integration (VLSI) technologies have made possible the realization of complete systems on a single chip. Since complete

More information

Automated Multi-Camera Surveillance Algorithms and Practice

Automated Multi-Camera Surveillance Algorithms and Practice Automated Multi-Camera Surveillance Algorithms and Practice The International Series in Video Computing Series Editor: Mubarak Shah, Ph.D University of Central Florida Orlando, Florida Automated Multi-Camera

More information

Real-time FPGA realization of an UWB transceiver physical layer

Real-time FPGA realization of an UWB transceiver physical layer University of Wollongong Research Online University of Wollongong Thesis Collection 1954-2016 University of Wollongong Thesis Collections 2005 Real-time FPGA realization of an UWB transceiver physical

More information

DIGITAL SIGNAL PROCESSING WITH VHDL

DIGITAL SIGNAL PROCESSING WITH VHDL DIGITAL SIGNAL PROCESSING WITH VHDL GET HANDS-ON FROM THEORY TO PRACTICE IN 6 DAYS MODEL WITH SCILAB, BUILD WITH VHDL NUMEROUS MODELLING & SIMULATIONS DIRECTLY DESIGN DSP HARDWARE Brought to you by: Copyright(c)

More information

FPGA Based System Design

FPGA Based System Design FPGA Based System Design Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Why VLSI? Integration improves the design: higher speed; lower power; physically smaller. Integration reduces

More information

Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems

Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems M.C. Bhuvaneswari Editor Application of Evolutionary Algorithms for Multi-objective Optimization in

More information

UPSC Electrical Engineering Syllabus

UPSC Electrical Engineering Syllabus UPSC Electrical Engineering Syllabus UPSC Electrical Engineering Syllabus PAPER I 1. Circuit Theory: Circuit components; network graphs; KCL, KVL; circuit analysis methods: nodal analysis, mesh analysis;

More information

Phase-Locked Loops. Roland E. Best. Me Graw Hill. Sixth Edition. Design, Simulation, and Applications

Phase-Locked Loops. Roland E. Best. Me Graw Hill. Sixth Edition. Design, Simulation, and Applications Phase-Locked Loops Design, Simulation, and Applications Roland E. Best Sixth Edition Me Graw Hill New York Chicago San Francisco Lisbon London Madrid Mexico City Milan New Delhi San Juan Seoul Singapore

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

Design of Multiplier Less 32 Tap FIR Filter using VHDL

Design of Multiplier Less 32 Tap FIR Filter using VHDL International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Design of Multiplier Less 32 Tap FIR Filter using VHDL Abul Fazal Reyas Sarwar 1, Saifur Rahman 2 1 (ECE, Integral University, India)

More information

Microelectronic Circuits

Microelectronic Circuits SECOND EDITION ISHBWHBI \ ' -' Microelectronic Circuits Adel S. Sedra University of Toronto Kenneth С Smith University of Toronto HOLT, RINEHART AND WINSTON HOLT, RINEHART AND WINSTON, INC. New York Chicago

More information

ADVANCED POWER RECTIFIER CONCEPTS

ADVANCED POWER RECTIFIER CONCEPTS ADVANCED POWER RECTIFIER CONCEPTS B. Jayant Baliga ADVANCED POWER RECTIFIER CONCEPTS B. Jayant Baliga Power Semiconductor Research Center North Carolina State University Raleigh, NC 27695-7924, USA bjbaliga@unity.ncsu.edu

More information

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST

Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST ǁ Volume 02 - Issue 01 ǁ January 2017 ǁ PP. 06-14 Implementation of Parallel Multiplier-Accumulator using Radix- 2 Modified Booth Algorithm and SPST Ms. Deepali P. Sukhdeve Assistant Professor Department

More information

MHz phase-locked loop

MHz phase-locked loop SPECIFICATION 1 FEATURES 50 800 MHz phase-locked loop TSMC CMOS 65 nm Output frequency from 50 to 800 MHz Reference frequency from 4 to 30 MHz Power supply 1.2 V CMOS output Supported foundries: TSMC,

More information

ANALOG INTEGRATED CIRCUITS FOR COMMUNICATION Principles, Simulation and Design

ANALOG INTEGRATED CIRCUITS FOR COMMUNICATION Principles, Simulation and Design ANALOG INTEGRATED CIRCUITS FOR COMMUNICATION Principles, Simulation and Design ANALOG INTEGRATED CIRCUITS FOR COMMUNICATION Principles, Simulation and Design by Donald 0. Pederson University of California

More information

At the end of this course, students should be able to: 1 explain experimental results with theoretical expected outcome

At the end of this course, students should be able to: 1 explain experimental results with theoretical expected outcome COURSE NAME ELECTRONIC FUNDAMENTAL LABORATORY 1 COURSE CODE BENC 1711 COURSE SYNOPSIS This course covers topics in BENE 1133 Principle of Electric and BENT 2133 Electric Circuit Analysis with the following

More information

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS ROBERT BOGDAN STASZEWSKI Texas Instruments PORAS T. BALSARA University of Texas at Dallas WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC., PUBLICATION

More information

Optimized BPSK and QAM Techniques for OFDM Systems

Optimized BPSK and QAM Techniques for OFDM Systems I J C T A, 9(6), 2016, pp. 2759-2766 International Science Press ISSN: 0974-5572 Optimized BPSK and QAM Techniques for OFDM Systems Manikandan J.* and M. Manikandan** ABSTRACT A modulation is a process

More information

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Introduction: The CEBAF upgrade Low Level Radio Frequency (LLRF) control

More information

ELECTRONICS WITH DISCRETE COMPONENTS

ELECTRONICS WITH DISCRETE COMPONENTS ELECTRONICS WITH DISCRETE COMPONENTS Enrique J. Galvez Department of Physics and Astronomy Colgate University WILEY John Wiley & Sons, Inc. ^ CONTENTS Preface vii 1 The Basics 1 1.1 Foreword: Welcome to

More information

Design of Adjustable Reconfigurable Wireless Single Core

Design of Adjustable Reconfigurable Wireless Single Core IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 6, Issue 2 (May. - Jun. 2013), PP 51-55 Design of Adjustable Reconfigurable Wireless Single

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) STUDY ON COMPARISON OF VARIOUS MULTIPLIERS

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) STUDY ON COMPARISON OF VARIOUS MULTIPLIERS INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN 0976 ISSN 0976 6464(Print)

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Performance Evaluation of Wireless Communication System Employing DWT-OFDM using Simulink Model

Performance Evaluation of Wireless Communication System Employing DWT-OFDM using Simulink Model Performance Evaluation of Wireless Communication System Employing DWT-OFDM using Simulink Model M. Prem Anand 1 Rudrashish Roy 2 1 Assistant Professor 2 M.E Student 1,2 Department of Electronics & Communication

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2017 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

ISSN:

ISSN: 1391 DESIGN OF 9 BIT SAR ADC USING HIGH SPEED AND HIGH RESOLUTION OPEN LOOP CMOS COMPARATOR IN 180NM TECHNOLOGY WITH R-2R DAC TOPOLOGY AKHIL A 1, SUNIL JACOB 2 1 M.Tech Student, 2 Associate Professor,

More information

TABLE OF CONTENTS CHAPTER TITLE PAGE

TABLE OF CONTENTS CHAPTER TITLE PAGE TABLE OF CONTENTS CHAPTER TITLE PAGE DECLARATION ACKNOWLEDGEMENT ABSTRACT ABSTRAK TABLE OF CONTENTS LIST OF TABLES LIST OF FIGURES LIST OF ABBREVIATIONS i i i i i iv v vi ix xi xiv 1 INTRODUCTION 1 1.1

More information

Option 1: A programmable Digital (FIR) Filter

Option 1: A programmable Digital (FIR) Filter Design Project Your design project is basically a module filter. A filter is basically a weighted sum of signals. The signals (input) may be related, e.g. a delayed versions of each other in time, e.g.

More information

Wireless Communication Electronics by Example

Wireless Communication Electronics by Example Wireless Communication Electronics by Example Robert Sobot Wireless Communication Electronics by Example 123 Robert Sobot Electrical and Computer Engineering Western University London, ON Canada ISBN 978-3-319-02870-5

More information

Energy-Efficient Fault-Tolerant Systems

Energy-Efficient Fault-Tolerant Systems Energy-Efficient Fault-Tolerant Systems Jimson Mathew Rishad A. Shafik Dhiraj K. Pradhan Editors Energy-Efficient Fault-Tolerant Systems 123 Editors Jimson Mathew Department of Computer Science University

More information

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN

IJCSIET--International Journal of Computer Science information and Engg., Technologies ISSN An efficient add multiplier operator design using modified Booth recoder 1 I.K.RAMANI, 2 V L N PHANI PONNAPALLI 2 Assistant Professor 1,2 PYDAH COLLEGE OF ENGINEERING & TECHNOLOGY, Visakhapatnam,AP, India.

More information

An Efficient Method for Implementation of Convolution

An Efficient Method for Implementation of Convolution IAAST ONLINE ISSN 2277-1565 PRINT ISSN 0976-4828 CODEN: IAASCA International Archive of Applied Sciences and Technology IAAST; Vol 4 [2] June 2013: 62-69 2013 Society of Education, India [ISO9001: 2008

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER

ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER ENHANCING SPEED AND REDUCING POWER OF SHIFT AND ADD MULTIPLIER 1 ZUBER M. PATEL 1 S V National Institute of Technology, Surat, Gujarat, Inida E-mail: zuber_patel@rediffmail.com Abstract- This paper presents

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Partial Reconfigurable Implementation of IEEE802.11g OFDM

Partial Reconfigurable Implementation of IEEE802.11g OFDM Indian Journal of Science and Technology, Vol 7(4S), 63 70, April 2014 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Partial Reconfigurable Implementation of IEEE802.11g OFDM S. Sivanantham 1*, R.

More information

Smart AD and DA Conversion

Smart AD and DA Conversion Smart AD and DA Conversion ANALOG CIRCUITS AND SIGNAL PROCESSING Consulting Editor: Mohammed Ismail. Ohio State University For other titles published in this series, go to www.springer.com/series/7381

More information

CMOS Active Inductors and Transformers. Principle, Implementation, and Applications

CMOS Active Inductors and Transformers. Principle, Implementation, and Applications CMOS Active Inductors and Transformers Principle, Implementation, and Applications Fei Yuan CMOS Active Inductors and Transformers Principle, Implementation, and Applications Fei Yuan Department of Electrical

More information

TABLE OF CONTENTS CHAPTER NO. TITLE PAGE NO. LIST OF TABLES LIST OF FIGURES LIST OF SYMBOLS AND ABBREVIATIONS

TABLE OF CONTENTS CHAPTER NO. TITLE PAGE NO. LIST OF TABLES LIST OF FIGURES LIST OF SYMBOLS AND ABBREVIATIONS vii TABLE OF CONTENTS CHAPTER NO. TITLE PAGE NO. ABSTRACT LIST OF TABLES LIST OF FIGURES LIST OF SYMBOLS AND ABBREVIATIONS iii xii xiii xxi 1 INTRODUCTION 1 1.1 GENERAL 1 1.2 LITERATURE SURVEY 1 1.3 OBJECTIVES

More information

CS302 - Digital Logic Design Glossary By

CS302 - Digital Logic Design Glossary By CS302 - Digital Logic Design Glossary By ABEL : Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder : A digital

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

Multisector Growth Models

Multisector Growth Models Multisector Growth Models Terry L. Roe Rodney B.W. Smith D. Şirin Saracoğlu Multisector Growth Models Theory and Application 123 Terry L. Roe Department of Applied Economics University of Minnesota 1994

More information

Question Paper Code: 21398

Question Paper Code: 21398 Reg. No. : Question Paper Code: 21398 B.E./B.Tech. DEGREE EXAMINATION, MAY/JUNE 2013 Fourth Semester Electrical and Electronics Engineering EE2254 LINEAR INTEGRATED CIRCUITS AND APPLICATIONS (Regulation

More information

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K.

VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. VLSI IMPLEMENTATION OF MODIFIED DISTRIBUTED ARITHMETIC BASED LOW POWER AND HIGH PERFORMANCE DIGITAL FIR FILTER Dr. S.Satheeskumaran 1 K. Sasikala 2 1 Professor, Department of Electronics and Communication

More information

EE19D Digital Electronics. Lecture 1: General Introduction

EE19D Digital Electronics. Lecture 1: General Introduction EE19D Digital Electronics Lecture 1: General Introduction 1 What are we going to discuss? Some Definitions Digital and Analog Quantities Binary Digits, Logic Levels and Digital Waveforms Introduction to

More information

VLSI Implementation of Digital Down Converter (DDC)

VLSI Implementation of Digital Down Converter (DDC) Volume-7, Issue-1, January-February 2017 International Journal of Engineering and Management Research Page Number: 218-222 VLSI Implementation of Digital Down Converter (DDC) Shaik Afrojanasima 1, K Vijaya

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

ISSN Vol.07,Issue.08, July-2015, Pages:

ISSN Vol.07,Issue.08, July-2015, Pages: ISSN 2348 2370 Vol.07,Issue.08, July-2015, Pages:1397-1402 www.ijatir.org Implementation of 64-Bit Modified Wallace MAC Based On Multi-Operand Adders MIDDE SHEKAR 1, M. SWETHA 2 1 PG Scholar, Siddartha

More information

Wireless Communication Electronics

Wireless Communication Electronics Wireless Communication Electronics Robert Sobot Wireless Communication Electronics Introduction to RF Circuits and Design Techniques 123 Robert Sobot Department of Electrical and Computer Engineering

More information

B.E. Sem.VII [ETRX] Basics of VLSI

B.E. Sem.VII [ETRX] Basics of VLSI B.E. Sem.VII [ETRX] Basics of VLSI 1. Physics of FET NMOS, PMOS, enhancement and depletion mode transistor, MOSFET, threshold voltage, flatband condition, threshold adjustment, linear and saturated operation,

More information

Introductory Electronics for Scientists and Engineers

Introductory Electronics for Scientists and Engineers Introductory Electronics for Scientists and Engineers Second Edition ROBERT E. SIMPSON University of New Hampshire Allyn and Bacon, Inc. Boston London Sydney Toronto Contents Preface xiü 1 Direct Current

More information

Socware, Pacwoman & Flexible Radio. Peter Nilsson. Program Manager Socware Research & Education

Socware, Pacwoman & Flexible Radio. Peter Nilsson. Program Manager Socware Research & Education Socware, Pacwoman & Flexible Radio Peter Nilsson Program Manager Socware Research & Education Associate Professor Digital ASIC Group Department of Electroscience Lund University Socware: System-on-Chip

More information

FPGA-based Digital Signal Processing Trainer

FPGA-based Digital Signal Processing Trainer FPGA-based Digital Signal Processing Trainer Rosula S. Reyes, Ph.D. 1,2 Carlos M. Oppus 1,2 Jose Claro N. Monje 1,2 Noel S. Patron 1,2 Raphael A. Gonzales 2 Jovilyn Therese B. Fajardo 2 1 Department of

More information

Evolutionary Electronics

Evolutionary Electronics Evolutionary Electronics 1 Introduction Evolutionary Electronics (EE) is defined as the application of evolutionary techniques to the design (synthesis) of electronic circuits Evolutionary algorithm (schematic)

More information

Design and Implementation Radix-8 High Performance Multiplier Using High Speed Compressors

Design and Implementation Radix-8 High Performance Multiplier Using High Speed Compressors Design and Implementation Radix-8 High Performance Multiplier Using High Speed Compressors M.Satheesh, D.Sri Hari Student, Dept of Electronics and Communication Engineering, Siddartha Educational Academy

More information

Getting to Work with OpenPiton. Princeton University. OpenPit

Getting to Work with OpenPiton. Princeton University.   OpenPit Getting to Work with OpenPiton Princeton University http://openpiton.org OpenPit ASIC SYNTHESIS AND BACKEND 2 Whats in the Box? Synthesis Synopsys Design Compiler Static timing analysis (STA) Synopsys

More information

DATE: June 14, 2007 TO: FROM: SUBJECT:

DATE: June 14, 2007 TO: FROM: SUBJECT: DATE: June 14, 2007 TO: FROM: SUBJECT: Pierre Collinet Chinmoy Gavini A proposal for quantifying tradeoffs in the Physical Layer s modulation methods of the IEEE 802.15.4 protocol through simulation INTRODUCTION

More information

THIS work focus on a sector of the hardware to be used

THIS work focus on a sector of the hardware to be used DISSERTATION ON ELECTRICAL AND COMPUTER ENGINEERING 1 Development of a Transponder for the ISTNanoSAT (November 2015) Luís Oliveira luisdeoliveira@tecnico.ulisboa.pt Instituto Superior Técnico Abstract

More information

Chapter 0 Outline. NCCU Wireless Comm. Lab

Chapter 0 Outline. NCCU Wireless Comm. Lab Chapter 0 Outline Chapter 1 1 Introduction to Orthogonal Frequency Division Multiplexing (OFDM) Technique 1.1 The History of OFDM 1.2 OFDM and Multicarrier Transmission 1.3 The Applications of OFDM 2 Chapter

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

DAV Institute of Engineering & Technology Department of ECE. Course Outcomes

DAV Institute of Engineering & Technology Department of ECE. Course Outcomes DAV Institute of Engineering & Technology Department of ECE Course Outcomes Upon successful completion of this course, the student will intend to apply the various outcome as:: BTEC-301, Analog Devices

More information

The Application of System Generator in Digital Quadrature Direct Up-Conversion

The Application of System Generator in Digital Quadrature Direct Up-Conversion Communications in Information Science and Management Engineering Apr. 2013, Vol. 3 Iss. 4, PP. 192-19 The Application of System Generator in Digital Quadrature Direct Up-Conversion Zhi Chai 1, Jun Shen

More information

Analog Devices perpetual ebook license Artech House copyrighted material.

Analog Devices perpetual ebook license Artech House copyrighted material. Software-Defined Radio for Engineers For a listing of recent titles in the Artech House Mobile Communications, turn to the back of this book. Software-Defined Radio for Engineers Travis F. Collins Robin

More information

B SCITEQ. Transceiver and System Design for Digital Communications. Scott R. Bullock, P.E. Third Edition. SciTech Publishing, Inc.

B SCITEQ. Transceiver and System Design for Digital Communications. Scott R. Bullock, P.E. Third Edition. SciTech Publishing, Inc. Transceiver and System Design for Digital Communications Scott R. Bullock, P.E. Third Edition B SCITEQ PUBLISHtN^INC. SciTech Publishing, Inc. Raleigh, NC Contents Preface xvii About the Author xxiii Transceiver

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information