Variation Tolerant On-Chip Interconnects

Size: px
Start display at page:

Download "Variation Tolerant On-Chip Interconnects"

Transcription

1 Variation Tolerant On-Chip Interconnects

2 ANALOG CIRCUITS AND SIGNAL PROCESSING Series Editors: Mohammed Ismail. The Ohio State University Mohamad Sawan. École Polytechnique de Montréal For further volumes:

3 Ethiopia Enideg Nigussie Variation Tolerant On-Chip Interconnects 123

4 Ethiopia Enideg Nigussie University of Turku Turku Finland ISBN e-isbn DOI / Springer New York Dordrecht Heidelberg London Library of Congress Control Number: Springer Science+Business Media, LLC 2012 All rights reserved. This work may not be translated or copied in whole or in part without the written permission of the publisher (Springer Science+Business Media, LLC, 233 Spring Street, New York, NY 10013, USA), except for brief excerpts in connection with reviews or scholarly analysis. Use in connection with any form of information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology now known or hereafter developed is forbidden. The use in this publication of trade names, trademarks, service marks, and similar terms, even if they are not identified as such, is not to be taken as an expression of opinion as to whether or not they are subject to proprietary rights. Printed on acid-free paper Springer is part of Springer Science+Business Media (

5 Preface The design paradigm shift from single-core to multicore systems and from corecentric to interconnect-centric designs has emphasized the importance of high performance and reliable on-chip interconnects. In sub-100 nm technologies, variability has become a major challenge and it is considered one of the primary limiters for technology scaling. The inability to precisely control the manufacturing process leads to unpredictable device and wire characteristics, which in turn cause performance and power variability besides error-prone behavior. The performance and reliability of an interconnect is also affected by the environment in which it operates such as temperature, power supply voltage and noise. All these variations cause the signal propagation delay of the interconnect to be uncertain which in turn affects the performance and reliability of the communication significantly. Traditionally corner based analysis has been used to guard against yield loss resulting from these variations; however, with increasing number of sources of variation, corner based methods are becoming overly pessimistic and computationally expensive. Self-timed design methodologies can make the communication resilient to delay variations. More specifically, self-timed delay-insensitive links can operate correctly in the presence of delay variations in gates and interconnecting wires. In this monograph designs of high performance and variation tolerant on-chip interconnects are presented. The design and implementation of these interconnects are based on formulation and integration of different circuit level techniques. Since delay variations are inevitable, the design focuses on self-timed delay-insensitive communication. In this regard, design and optimization of delay-insensitive data encoding/decoding schemes as well as formulation of efficient communication protocols are performed. To compensate the delay overhead of delay-insensitive communication, high speed signaling techniques are developed and implemented. In addition, a novel high speed completion detection technique is devised and implemented to solve the performance bottleneck caused by conventional completion detection methods. A high-throughput and power efficient serial interconnect is also designed in order to be used as a long-range on-chip communication link. Furthermore, an interconnect calibration technique after every power start-up of a system is developed and implemented to ensure signal integrity of the interconnects v

6 vi Preface despite process, wearout and aging caused variations. A runtime supply voltage and temperature (VT) variation tolerance technique is also devised and implemented for the interconnects. These Process, Voltage and Temperature (PVT) variation tolerance schemes make the interconnects adaptive to the effect of variations, enabling continuous and reliable operation of the interconnect. The manuscript is organized as follows. The introduction in Chap. 1 focuses on the drive for interconnect-centric design and challenges of global on-chip communication. In Chap. 2, the design techniques used to implement the presented high performance delay-insensitive interconnects are discussed. Methods and basis for estimating wire parasitics along with the electrical level modeling of wires is discussed in Chap. 3. Design and analysis of the three delay-insensitive current sensing on-chip interconnects are presented in Chap. 4. In addition, analysis of their performance and power consumption as well as comparison with conventional delay-insensitive on-chip interconnects are presented. In Chap. 6, a high speed completion detection technique as well as its design is presented in order to enhance the performance of the delay-insensitive interconnects. Furthermore, two of the interconnects presented in Chap. 4 are redesigned and presented as case studies to demonstrate the advantage of the presented completion detection technique. Analysis of their performance, energy dissipation and area besides comparison with the reference cases are also discussed. In Chap. 6, implementation and analysis of high-throughput serial on-chip interconnect targeted for long-range communication is presented. Also, comparison of throughput, energy and area between fully bit-parallel, bit-serial and semi-serial links are performed. All the interconnects which are presented in Chaps. 4 6 are redesigned using 65 nm CMOS technology and their performance, energy dissipation, and area are compared in Chap. 7. In Chap. 8, circuit techniques as well as implementations to tolerate process, supply VT variation effects on the signal integrity of the interconnects are presented. Although much care has been made in the preparation of the manuscript, flaws and errors might still exist due to erring human nature. Suggestions and appropriate comments are highly appreciated. Turku, Finland Ethiopia Enideg Nigussie

7 Acknowledgements I would like to take this opportunity to express my sincere gratitude to the people and institutions that have helped me to accomplish this research work. This manuscript is developed from my doctoral dissertation and due to this I am grateful to my doctoral research supervisors Adj. Prof. Juha Plosila, Prof. Jouni Isoaho, and Prof. Hannu Tenhunen. Their inspiration, guidance and support has been the main driving force for this research. The support from the Department of Information Technology, University of Turku, Finland where I carried out this research is gratefully acknowledged. My heartfelt appreciation goes to Professor Mohammed Ismail, the series editor of Analog Circuits and Signal Processing, for his comments on the contents of the manuscript as well as for inviting me to write this monograph. Many thanks to the editorial staff of Springer, especially Charles B. Glaser, Senior Editor Electrical Engineering, they have been wonderfully supportive and encouraging. A large dept of gratitude is owed to my wonderful mother Yisgedu Agonafir. Though I lost you many years ago, you are still inspiring me to work hard and reach further. vii

8

9 Contents 1 Introduction Emergence of Interconnect-Centric Design Device and Interconnect Scaling System-on-Chip and Multicore Systems Network-on-Chip Challenges of Global On-Chip Interconnect Performance and Power Consumption Variability and Reliability Global On-Chip Communication Techniques GALS Communication Self-timed Delay-Insensitive Communication Related Work High Performance Interconnect Variation Tolerant Interconnect High Performance and Variation Tolerant Interconnect Interconnect Design Techniques Handshaking Protocols Data Encoding Techniques Data Decoding Techniques Completion Detection Techniques Self-timed Components On-Chip Signaling Schemes Current-Mode and Current Sensing Signaling Voltage-Mode Signaling: Reference Chapter Summary On-Chip Wire Modeling Wire Parasitic Estimation and Extraction Resistance Capacitance Inductance ix

10 x Contents 3.2 Electrical Level Wire Modeling Chapter Summary Design of Delay-Insensitive Current Sensing Interconnects Level-Encoded Dual-Rail Current Sensing Interconnect Data Encoder and Driver Receiver, Decoder and Completion Detector Acknowledgment Transmission Simulation Results and Analysis Effect of Crosstalk on Timing of-4 Encoded Current Sensing Interconnect Encoder and Driver Receiver Decoder and Completion Detector Acknowledgment Transmission Reference Voltage-Mode Interconnects Simulation Results and Analysis Dual-Rail Encoded Differential Current Sensing Interconnect Encoding and Its Implementation Driver, Receiver and Completion Detector Acknowledgment Transmission Simulation Results and Analysis Chapter Summary Enhancing Completion Detection Performance Delay-Insensitive Bit Parallel Transmission High-Speed Completion Detection Technique Case Studies of-4 Encoded Current Sensing Interconnect Dual-rail Encoded Differential Current Sensing Interconnect Acknowledgment Transmission Reference Cases Simulation Results and Analysis Wire Model Simulations Setup Performance Analysis Power Analysis Noise Analysis Post-Layout Simulation Area Comparison Chapter Summary Energy Efficient Semi-Serial Interconnect Long-Range Link in NoC High-Throughput Serial On-Chip Interconnect Communication Protocol... 98

11 Contents xi Serializer and Pulse Dual-Rail Encoding High-Speed Differential Pulse Current-Mode Signaling Deserializer Acknowledgment Transmission Simulation Results and Analysis Wire Model and Simulation Waveforms Performance Power and Energy Consumption Effect of PVT Variations Bit Error Rate (BER) Fully Bit-Parallel vs Bit-Serial and Semi-Serial Links Chapter Summary Comparison of the Designed Interconnects Summary of the Interconnects Comparison of the Interconnects Performance Power Efficiency Area Chapter Summary Circuit Techniques for PVT Variation Tolerance Signal Integrity of Current Sensing Interconnect Effects of Process Variation Runtime Supply Voltage and Temperature Variations Post-Manufacture Variation Adaptation Calibration for Process Variation Tolerance Algorithm and Methodology Reconfiguration Control and Communication Circuits Runtime Management of Voltage and Temperature Variations Sensing Effects of Voltage and Temperature Variation Sensor Circuit Implementation Reconfiguration and Retransmission Simulation Results and Analysis Chapter Summary References Index

12

ANALOG CIRCUITS AND SIGNAL PROCESSING

ANALOG CIRCUITS AND SIGNAL PROCESSING ANALOG CIRCUITS AND SIGNAL PROCESSING Series Editors Mohammed Ismail, The Ohio State University Mohamad Sawan, École Polytechnique de Montréal For further volumes: http://www.springer.com/series/7381 Yongjian

More information

Multiprocessor System-on-Chip

Multiprocessor System-on-Chip Multiprocessor System-on-Chip Michael Hübner l Editors Jürgen Becker Multiprocessor System-on-Chip Hardware Design and Tool Integration Editors Michael Hübner Karlsruhe Institute of Technology (KIT) Institut

More information

Automated Multi-Camera Surveillance Algorithms and Practice

Automated Multi-Camera Surveillance Algorithms and Practice Automated Multi-Camera Surveillance Algorithms and Practice The International Series in Video Computing Series Editor: Mubarak Shah, Ph.D University of Central Florida Orlando, Florida Automated Multi-Camera

More information

Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems

Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems Application of Evolutionary Algorithms for Multi-objective Optimization in VLSI and Embedded Systems M.C. Bhuvaneswari Editor Application of Evolutionary Algorithms for Multi-objective Optimization in

More information

Smart AD and DA Conversion

Smart AD and DA Conversion Smart AD and DA Conversion ANALOG CIRCUITS AND SIGNAL PROCESSING Consulting Editor: Mohammed Ismail. Ohio State University For other titles published in this series, go to www.springer.com/series/7381

More information

The Economics of Information, Communication, and Entertainment

The Economics of Information, Communication, and Entertainment The Economics of Information, Communication, and Entertainment The Impacts of Digital Technology in the 21st Century Series Editor Darcy Gerbarg President, DVI, Ltd. Senior Fellow Columbia Institute for

More information

A Practical Guide to Frozen Section Technique

A Practical Guide to Frozen Section Technique A Practical Guide to Frozen Section Technique Editor A Practical Guide to Frozen Section Technique Editor University of Medicine and Dentistry of New Jersey New Jersey Medical School Newark, NJ USA petepath@yahoo.com

More information

Design for Innovative Value Towards a Sustainable Society

Design for Innovative Value Towards a Sustainable Society Design for Innovative Value Towards a Sustainable Society Mitsutaka Matsumoto Yasushi Umeda Keijiro Masui Shinichi Fukushige Editors Design for Innovative Value Towards a Sustainable Society Proceedings

More information

Multisector Growth Models

Multisector Growth Models Multisector Growth Models Terry L. Roe Rodney B.W. Smith D. Şirin Saracoğlu Multisector Growth Models Theory and Application 123 Terry L. Roe Department of Applied Economics University of Minnesota 1994

More information

ANALOG CMOS FILTERS FOR VERY HIGH FREQUENCIES

ANALOG CMOS FILTERS FOR VERY HIGH FREQUENCIES ANALOG CMOS FILTERS FOR VERY HIGH FREQUENCIES THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE ANALOG CIRCUITS AND SIGNAL PROCESSING Consulting Editor Mohammed Ismail Ohio State University

More information

Faster than Nyquist Signaling

Faster than Nyquist Signaling Faster than Nyquist Signaling Deepak Dasalukunte Viktor Öwall Fredrik Rusek John B. Anderson Faster than Nyquist Signaling Algorithms to Silicon 123 Deepak Dasalukunte Lantiq Bangalore, India Fredrik

More information

ADVANCED POWER RECTIFIER CONCEPTS

ADVANCED POWER RECTIFIER CONCEPTS ADVANCED POWER RECTIFIER CONCEPTS B. Jayant Baliga ADVANCED POWER RECTIFIER CONCEPTS B. Jayant Baliga Power Semiconductor Research Center North Carolina State University Raleigh, NC 27695-7924, USA bjbaliga@unity.ncsu.edu

More information

Minimizing Spurious Tones in Digital Delta-Sigma Modulators

Minimizing Spurious Tones in Digital Delta-Sigma Modulators Minimizing Spurious Tones in Digital Delta-Sigma Modulators ANALOG CIRCUITS AND SIGNAL PROCESSING Series Editors: Mohammed Ismail Mohamad Sawan For other titles published in this series, go to http://www.springer.com/series/7381

More information

INDUSTRIAL ROBOTS PROGRAMMING: BUILDING APPLICATIONS FOR THE FACTORIES OF THE FUTURE

INDUSTRIAL ROBOTS PROGRAMMING: BUILDING APPLICATIONS FOR THE FACTORIES OF THE FUTURE INDUSTRIAL ROBOTS PROGRAMMING: BUILDING APPLICATIONS FOR THE FACTORIES OF THE FUTURE INDUSTRIAL ROBOTS PROGRAMMING: BUILDING APPLICATIONS FOR THE FACTORIES OF THE FUTURE J. Norberto Pires Mechanical Engineering

More information

K-Best Decoders for 5G+ Wireless Communication

K-Best Decoders for 5G+ Wireless Communication K-Best Decoders for 5G+ Wireless Communication Mehnaz Rahman Gwan S. Choi K-Best Decoders for 5G+ Wireless Communication Mehnaz Rahman Department of Electrical and Computer Engineering Texas A&M University

More information

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES LEAKAGE IN NANOMETER CMOS TECHNOLOGIES SERIES ON INTEGRATED CIRCUITS AND SYSTEMS Anantha Chandrakasan, Editor Massachusetts Institute of Technology Cambridge, Massachusetts, USA Published books in the

More information

Mechanics Over Micro and Nano Scales

Mechanics Over Micro and Nano Scales Mechanics Over Micro and Nano Scales Suman Chakraborty Editor Mechanics Over Micro and Nano Scales 123 Editor Suman Chakraborty Department of Mechanical Engineering Indian Institute of Technology (IIT)

More information

High-Linearity CMOS. RF Front-End Circuits

High-Linearity CMOS. RF Front-End Circuits High-Linearity CMOS RF Front-End Circuits Yongwang Ding Ramesh Harjani iigh-linearity CMOS tf Front-End Circuits - Springer Library of Congress Cataloging-in-Publication Data A C.I.P. Catalogue record

More information

CMOS Test and Evaluation

CMOS Test and Evaluation CMOS Test and Evaluation Manjul Bhushan Mark B. Ketchen CMOS Test and Evaluation A Physical Perspective Manjul Bhushan OctEval Hopewell Junction, NY, USA Mark B. Ketchen OcteVue Hadley, MA, USA ISBN 978-1-4939-1348-0

More information

Advances in Metaheuristic Algorithms for Optimal Design of Structures

Advances in Metaheuristic Algorithms for Optimal Design of Structures Advances in Metaheuristic Algorithms for Optimal Design of Structures ThiS is a FM Blank Page A. Kaveh Advances in Metaheuristic Algorithms for Optimal Design of Structures A. Kaveh School of Civil Engineering,

More information

METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS

METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS METHODOLOGY FOR THE DIGITAL CALIBRATION OF ANALOG CIRCUITS AND SYSTEMS with Case Studies by Marc Pastre Ecole Polytechnique Fédérale

More information

The Astronaut s Cookbook

The Astronaut s Cookbook The Astronaut s Cookbook The Astronaut s Cookbook Tales, Recipes, and More By Charles T. Bourland and Gregory L. Vogt 13 Charles T. Bourland 1105 NE. 450 Road Osceola, MO, 64776 USA cbourlan@dishmail.net

More information

CMOS Active Inductors and Transformers. Principle, Implementation, and Applications

CMOS Active Inductors and Transformers. Principle, Implementation, and Applications CMOS Active Inductors and Transformers Principle, Implementation, and Applications Fei Yuan CMOS Active Inductors and Transformers Principle, Implementation, and Applications Fei Yuan Department of Electrical

More information

Statistics and Computing. Series Editors: J. Chambers D. Hand

Statistics and Computing. Series Editors: J. Chambers D. Hand Statistics and Computing Series Editors: J. Chambers D. Hand W. Härdle Statistics and Computing Brusco/Stahl: Branch-and-Bound Applications in Combinatorial Data Analysis. Dalgaard: Introductory Statistics

More information

Dry Etching Technology for Semiconductors. Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi

Dry Etching Technology for Semiconductors. Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi Dry Etching Technology for Semiconductors Translation supervised by Kazuo Nojiri Translation by Yuki Ikezi Kazuo Nojiri Dry Etching Technology for Semiconductors Kazuo Nojiri Lam Research Co., Ltd. Tokyo,

More information

SpringerBriefs in Space Development

SpringerBriefs in Space Development SpringerBriefs in Space Development Guest Editor: Jinyuan Su More information about this series at http://www.springer.com/series/10058 Joseph N. Pelton New Solutions for the Space Debris Problem Joseph

More information

Analog Circuits and Signal Processing. Series Editors Mohammed Ismail, Dublin, USA Mohamad Sawan, Montreal, Canada

Analog Circuits and Signal Processing. Series Editors Mohammed Ismail, Dublin, USA Mohamad Sawan, Montreal, Canada Analog Circuits and Signal Processing Series Editors Mohammed Ismail, Dublin, USA Mohamad Sawan, Montreal, Canada More information about this series at http://www.springer.com/series/7381 Marco Vigilante

More information

E E Verification and Control of Hybrid Systems

E E Verification and Control of Hybrid Systems E E Verification and Control of Hybrid Systems Paulo Tabuada Verification and Control of Hybrid Systems A Symbolic Approach Foreword by Rajeev Alur Paulo Tabuada Department of Electrical Engineering University

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Health Information Technology Standards. Series Editor: Tim Benson

Health Information Technology Standards. Series Editor: Tim Benson Health Information Technology Standards Series Editor: Tim Benson Tim Benson Principles of Health Interoperability HL7 and SNOMED Second Edition Tim Benson Abies Ltd Hermitage, Thatcham Berkshire UK ISBN

More information

Analog Circuits and Signal Processing

Analog Circuits and Signal Processing Analog Circuits and Signal Processing Series Editors Mohammed Ismail Department of Electrical & Computer Engineering, The Ohio State University, Dublin, Ohio, USA Mohamad Sawan École Polytechnique de Montréal,

More information

Distributed Detection and Data Fusion

Distributed Detection and Data Fusion Distributed Detection and Data Fusion Springer Science+ Business Media, LLC Signal Processing and Data Fusion Synthetic Aperture Radar J.P. Fitch Multiplicative Complexity, Convolution and the DFT MT.

More information

ULTRA LOW POWER CAPACITIVE SENSOR INTERFACES

ULTRA LOW POWER CAPACITIVE SENSOR INTERFACES ULTRA LOW POWER CAPACITIVE SENSOR INTERFACES ANALOG CIRCUITS AND SIGNAL PROCESSING SERIES Consulting Editor: Mohammed Ismail. Ohio State University Titles in Series: ULTRA LOW POWER CAPACITIVE SENSOR INTERFACES

More information

Integrated Circuits and Systems

Integrated Circuits and Systems Integrated Circuits and Systems Series Editor Anantha Chandrakasan, Massachusetts Institute of Technology Cambridge, Massachusetts For other titles published in this series, go to http://www.springer.com/series/7236

More information

Analog Circuits and Signal Processing. Series editors Mohammed Ismail, Dublin, USA Mohamad Sawan, Montreal, Canada

Analog Circuits and Signal Processing. Series editors Mohammed Ismail, Dublin, USA Mohamad Sawan, Montreal, Canada Analog Circuits and Signal Processing Series editors Mohammed Ismail, Dublin, USA Mohamad Sawan, Montreal, Canada The Analog Circuits and Signal Processing book series, formerly known as the Kluwer International

More information

Advances in Computer Vision and Pattern Recognition

Advances in Computer Vision and Pattern Recognition Advances in Computer Vision and Pattern Recognition For further volumes: http://www.springer.com/series/4205 Marco Alexander Treiber Optimization for Computer Vision An Introduction to Core Concepts and

More information

Synthetic Aperture Radar

Synthetic Aperture Radar Synthetic Aperture Radar J. Patrick Fitch Synthetic Aperture Radar C.S. Burrus, Consulting Editor With 93 Illustrations Springer-Verlag New York Berlin Heidelberg London Paris Tokyo J. Patrick Fitch Engineering

More information

Sustainable Development

Sustainable Development Sustainable Development Anne E. Egelston Sustainable Development A History 123 Dr. Anne E. Egelston Government Department Lone Star College-Montgomery Conroe, TX 77384 USA Quotations from Reimann (2006)

More information

Lateral Flow Immunoassay

Lateral Flow Immunoassay Lateral Flow Immunoassay l Raphael C. Wong Editors Harley Y. Tse Lateral Flow Immunoassay 13 Editors Raphael C. Wong Branan Medical Corporation 10015 Muirlands Road Irvine, CA 92618 USA raphael@brananmedical.com

More information

Springer Series in Advanced Microelectronics 33

Springer Series in Advanced Microelectronics 33 Springer Series in Advanced Microelectronics 33 The Springer Series in Advanced Microelectronics provides systematic information on all the topics relevant for the design, processing, and manufacturing

More information

Drones and Unmanned Aerial Systems

Drones and Unmanned Aerial Systems Drones and Unmanned Aerial Systems Aleš Završnik Editor Drones and Unmanned Aerial Systems Legal and Social Implications for Security and Surveillance 2123 Editor Aleš Završnik Institute of Criminology

More information

INTEGRATED AUDIO AMPLIFIERS IN BCD TECHNOLOGY

INTEGRATED AUDIO AMPLIFIERS IN BCD TECHNOLOGY INTEGRATED AUDIO AMPLIFIERS IN BCD TECHNOLOGY INTEGRATED AUDIO AMPLIFIERS IN BCD TECHNOLOGY by Marco Berkhout MESA Research Institute, University of Twente, and Philips Semiconductors " ~ Springer Science+Business

More information

Integrated Circuits and Systems

Integrated Circuits and Systems Integrated Circuits and Systems Series Editor Anantha P. Chandrakasan Massachusetts Institute of Technology Cambridge, Massachusetts For further volumes, go to http://www.springer.com/series/7236 Hoi-Jun

More information

Management of Software Engineering Innovation in Japan

Management of Software Engineering Innovation in Japan Management of Software Engineering Innovation in Japan Yasuo Kadono Management of Software Engineering Innovation in Japan 1 3 Yasuo Kadono Ritsumeikan University Graduate School of Technology Management

More information

ANALOG INTEGRATED CIRCUITS FOR COMMUNICATION Principles, Simulation and Design

ANALOG INTEGRATED CIRCUITS FOR COMMUNICATION Principles, Simulation and Design ANALOG INTEGRATED CIRCUITS FOR COMMUNICATION Principles, Simulation and Design ANALOG INTEGRATED CIRCUITS FOR COMMUNICATION Principles, Simulation and Design by Donald 0. Pederson University of California

More information

Handbook of Engineering Acoustics

Handbook of Engineering Acoustics Handbook of Engineering Acoustics . Gerhard M uller Michael M oser Editors Handbook of Engineering Acoustics Editors Prof. Dr. Gerhard M uller Technische Universit at M unchen Lehrstuhl f ur Baumechanik

More information

Time-interleaved Analog-to-Digital Converters

Time-interleaved Analog-to-Digital Converters Time-interleaved Analog-to-Digital Converters ANALOG CIRCUITS AND SIGNAL PROCESSING SERIES Consulting Editor: Mohammed Ismail. Ohio State University For other titles published in this series, go to www.springer.com/series/7381

More information

SpringerBriefs in Space Development

SpringerBriefs in Space Development SpringerBriefs in Space Development Series Editor: Joseph N. Pelton, Jr. For further volumes: http://www.springer.com/series/10058 Audrey L. Allison The ITU and Managing Satellite Orbital and Spectrum

More information

Computer Supported Cooperative Work. Series Editor Richard Harper Cambridge, United Kingdom

Computer Supported Cooperative Work. Series Editor Richard Harper Cambridge, United Kingdom Computer Supported Cooperative Work Series Editor Richard Harper Cambridge, United Kingdom The CSCW series examines the dynamic interface of human nature, culture, and technology. Technology to support

More information

Broadband Networks, Smart Grids and Climate Change

Broadband Networks, Smart Grids and Climate Change Broadband Networks, Smart Grids and Climate Change Eli M. Noam Lorenzo Maria Pupillo Johann J. Kranz Editors Broadband Networks, Smart Grids and Climate Change Editors Eli M. Noam Columbia Business School

More information

ADVANCED DESIGN TECHNIQUES FOR RF POWER AMPLIFIERS

ADVANCED DESIGN TECHNIQUES FOR RF POWER AMPLIFIERS ADVANCED DESIGN TECHNIQUES FOR RF POWER AMPLIFIERS ANALOG CIRCUITS AND SIGNAL PROCESSING SERIES Consulting Editor: Mohammed Ismail. Ohio State University Related Titles: CMOS CASCADE SIGMA-DELTA MODULATORS

More information

LOW POWER DESIGN METHODOLOGIES

LOW POWER DESIGN METHODOLOGIES LOW POWER DESIGN METHODOLOGIES LOW POWER DESIGN METHODOLOGIES edited by Jan M. Rabaey University Califomia and Massoud Pedram University of Southem Califomia SPRINGER SCIENCE+BUSINESS MEDIA, LLC ISBN 978-1-46

More information

PASSIVE COMPONENTS FOR DENSE OPTICAL INTEGRATION

PASSIVE COMPONENTS FOR DENSE OPTICAL INTEGRATION PASSIVE COMPONENTS FOR DENSE OPTICAL INTEGRATION PASSIVE COMPONENTS FOR DENSE OPTICAL INTEGRA TION Christina Manolatou Massachusetts Institute oftechnology Hermann A. Haus Massachusetts Institute oftechnology

More information

Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip

Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip Network-on-Chip Symposium, April 2008 Low Power and Reliable Interconnection with Self-Corrected Green Coding Scheme for Network-on-Chip Po-Tsang Huang, Wei-Li Fang, Yin-Ling Wang and Wei Hwang Department

More information

Research Article High-Performance Long NoC Link Using Delay-Insensitive Current-Mode Signaling

Research Article High-Performance Long NoC Link Using Delay-Insensitive Current-Mode Signaling VLSI esign Volume 27, Article I 4654, 3 pages doi:.55/27/4654 Research Article High-Performance Long NoC Link Using elay-insensitive Current-Mode Signaling Ethiopia Nigussie, Teijo Lehtonen,, 2 Sampo Tuuna,

More information

Learn Autodesk Inventor 2018 Basics

Learn Autodesk Inventor 2018 Basics Learn Autodesk Inventor 2018 Basics 3D Modeling, 2D Graphics, and Assembly Design T. Kishore Learn Autodesk Inventor 2018 Basics T. Kishore Hyderabad, India ISBN-13 (pbk): 978-1-4842-3224-8 ISBN-13 (electronic):

More information

Technology Roadmapping for Strategy and Innovation

Technology Roadmapping for Strategy and Innovation Technology Roadmapping for Strategy and Innovation Martin G. Moehrle, Ralf Isenmann, and Robert Phaal (Eds.) Technology Roadmapping for Strategy and Innovation Charting the Route to Success ABC Editors

More information

On-Chip Signaling Techniques for High-Speed SerDes Transceivers

On-Chip Signaling Techniques for High-Speed SerDes Transceivers The American University in Cairo School of Science and Engineering On-Chip Signaling Techniques for High-Speed SerDes Transceivers A Thesis submitted to The Department of Electronics Engineering In Partial

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

The European Heritage in Economics and the Social Sciences

The European Heritage in Economics and the Social Sciences The European Heritage in Economics and the Social Sciences Jürgen Georg Backhaus For other titles published in this series, go to www.springer.com/series/5902 Jürgen Georg Backhaus Editor The State as

More information

Building Arduino PLCs

Building Arduino PLCs Building Arduino PLCs The essential techniques you need to develop Arduino-based PLCs Pradeeka Seneviratne Building Arduino PLCs: The essential techniques you need to develop Arduino-based PLCs Pradeeka

More information

MATHEMATICAL OPTIMIZATION AND ECONOMIC ANALYSIS

MATHEMATICAL OPTIMIZATION AND ECONOMIC ANALYSIS MATHEMATICAL OPTIMIZATION AND ECONOMIC ANALYSIS Springer Optimization and Its Applications VOLUME 36 Managing Editor Panos M. Pardalos (University of Florida) Editor Combinatorial Optimization Ding-Zhu

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

Current Technologies in Vehicular Communications

Current Technologies in Vehicular Communications Current Technologies in Vehicular Communications George Dimitrakopoulos George Bravos Current Technologies in Vehicular Communications George Dimitrakopoulos Department of Informatics and Telematics Harokopio

More information

Computational Intelligence for Network Structure Analytics

Computational Intelligence for Network Structure Analytics Computational Intelligence for Network Structure Analytics Maoguo Gong Qing Cai Lijia Ma Shanfeng Wang Yu Lei Computational Intelligence for Network Structure Analytics 123 Maoguo Gong Xidian University

More information

The Future of Civil Litigation

The Future of Civil Litigation The Future of Civil Litigation ThiS is a FM Blank Page Laura Ervo Editors The Future of Civil Litigation Access to Courts and Court-annexed Mediation in the Nordic Countries Editors Laura Ervo JPS University

More information

Automotive Painting Technology

Automotive Painting Technology Automotive Painting Technology Kimio Toda Abraham Salazar Kozo Saito Editors Automotive Painting Technology A Monozukuri-Hitozukuri Perspective 1 3 Editors Mr. Kimio Toda Asahi Sunac Corporation Owariasahi,

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Guest Editorial: Low-Voltage Integrated Circuits and Systems

Guest Editorial: Low-Voltage Integrated Circuits and Systems Circuits Syst Signal Process (2017) 36:4769 4773 DOI 10.1007/s00034-017-0666-7 Guest Editorial: Low-Voltage Integrated Circuits and Systems Fabian Khateb 1,2 Spyridon Vlassis 3 Tomasz Kulej 4 Published

More information

The European Heritage in Economics and the Social Sciences

The European Heritage in Economics and the Social Sciences Homo Oeconomicus The European Heritage in Economics and the Social Sciences Edited by: Jürgen G. Backhaus University of Erfurt Frank H. Stephen University of Manchester Volume 1 Joseph Alois Schumpeter

More information

Ultra-Wideband Radio Frequency Identification Systems

Ultra-Wideband Radio Frequency Identification Systems Ultra-Wideband Radio Frequency Identification Systems wwwwwwwwwwwwwwww Faranak Nekoogar Farid Dowla Ultra-Wideband Radio Frequency Identification Systems Faranak Nekoogar Lawrence Livermore National Laboratory

More information

Founding Editor Martin Campbell-Kelly, University of Warwick, Coventry, UK

Founding Editor Martin Campbell-Kelly, University of Warwick, Coventry, UK History of Computing Founding Editor Martin Campbell-Kelly, University of Warwick, Coventry, UK Series Editor Gerard Alberts, University of Amsterdam, Amsterdam, The Netherlands Advisory Board Jack Copeland,

More information

Analysis and Comparison on Full Adder Block in Submicron Technology By: Massimo Alioto and Gaetano Palumbo. Krystina Tabangcura 7/25/11

Analysis and Comparison on Full Adder Block in Submicron Technology By: Massimo Alioto and Gaetano Palumbo. Krystina Tabangcura 7/25/11 Analysis and Comparison on Full Adder Block in Submicron Technology By: Massimo Alioto and Gaetano Palumbo Krystina Tabangcura 7/25/11 Outline Single-bit Full Adder Different Topologies Simulation Setup

More information

Standardization of Interconnects: Towards an Interconnect Library in VLSI Design

Standardization of Interconnects: Towards an Interconnect Library in VLSI Design Standardization of Interconnects: Towards an Interconnect Library in VLSI Design Submitted in partial fulfillment of the requirements for the degree of DOCTOR OF PHILOSOPHY by P. Vani Prasad 00407006 Supervisor:

More information

Dao Companion to the Analects

Dao Companion to the Analects Dao Companion to the Analects Dao Companions to Chinese Philosophy Series Editor HUANG Yong Department of Philosophy The Chinese University of Hong Kong Shatin, New Territories Hong Kong E-mail: yonghuang@cuhk.edu.hk

More information

SpringerBriefs in Computer Science

SpringerBriefs in Computer Science SpringerBriefs in Computer Science Series Editors Stan Zdonik Shashi Shekhar Jonathan Katz Xindong Wu Lakhmi C. Jain David Padua Xuemin (Sherman) Shen Borko Furht V.S. Subrahmanian Martial Hebert Katsushi

More information

Hiroyuki Kajimoto Satoshi Saga Masashi Konyo. Editors. Pervasive Haptics. Science, Design, and Application

Hiroyuki Kajimoto Satoshi Saga Masashi Konyo. Editors. Pervasive Haptics. Science, Design, and Application Pervasive Haptics Hiroyuki Kajimoto Masashi Konyo Editors Pervasive Haptics Science, Design, and Application 123 Editors Hiroyuki Kajimoto The University of Electro-Communications Tokyo, Japan University

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

Offshore Energy Structures

Offshore Energy Structures Offshore Energy Structures Madjid Karimirad Offshore Energy Structures For Wind Power, Wave Energy and Hybrid Marine Platforms 1 3 ISBN 978-3-319-12174-1 ISBN 978-3-319-12175-8 (ebook) DOI 10.1007/978-3-319-12175-8

More information

Cost Analysis and Estimating

Cost Analysis and Estimating Roland Kankey Editors Jane Robbins Cost Analysis and Estimating Shifting U.S. Priorities With 34 Figures Springer-Verlag New York Berlin Heidelberg London Paris Tokyo Hong Kong Barcelona Roland Kankey

More information

RF CMOS Power Amplifiers: Theory, Design and Implementation

RF CMOS Power Amplifiers: Theory, Design and Implementation RF CMOS Power Amplifiers: Theory, Design and Implementation THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE ANALOG CIRCUITS AND SIGNAL PROCESSING Consulting Editor: Mohammed Ismail.

More information

Applications to Marine Disaster Prevention

Applications to Marine Disaster Prevention Applications to Marine Disaster Prevention Editor Applications to Marine Disaster Prevention Spilled Oil and Gas Tracking Buoy System 123 Editor Graduate School of Engineering Osaka University Suita,

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

FILTRATION HANDBOOK: LIQUIDS

FILTRATION HANDBOOK: LIQUIDS FILTRATION HANDBOOK: LIQUIDS THEODORE H. MELZER MAIK W. JORNITZ PDA Bethesda, MD, USA DHI Publishing, LLC River Grove, IL, USA 10 9 8 7 6 5 4 3 2 1 ISBN: 1-930114-62-1 Copyright 2004 Theodore H. Melzer

More information

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 77-81 International Research Publication House http://www.irphouse.com Noise Tolerance Dynamic CMOS Logic

More information

Physical Oceanography. Developments Since 1950

Physical Oceanography. Developments Since 1950 Physical Oceanography Developments Since 1950 Physical Oceanography Developments Since 1950 Edited by Markus Jochum National Center for Atmospheric Research Boulder, Colorado, USA and Raghu Murtugudde

More information

SpringerBriefs in Electrical and Computer Engineering

SpringerBriefs in Electrical and Computer Engineering SpringerBriefs in Electrical and Computer Engineering For further volumes: http://www.springer.com/series/10059 Vikram Arkalgud Chandrasetty VLSI Design A Practical Guide for FPGA and ASIC Implementations

More information

Video Segmentation and Its Applications

Video Segmentation and Its Applications Video Segmentation and Its Applications King Ngi Ngan Hongliang Li Editors Video Segmentation and Its Applications ABC Editors King Ngi Ngan Department of Electronic Engineering The Chinese University

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Enabling Manufacturing Competitiveness and Economic Sustainability

Enabling Manufacturing Competitiveness and Economic Sustainability Enabling Manufacturing Competitiveness and Economic Sustainability Hoda A. ElMaraghy Editor Enabling Manufacturing Competitiveness and Economic Sustainability Proceedings of the 4th International Conference

More information

ANALOG TEST SIGNAL GENERATION USING PERIODIC ~Ll-ENCODED DATA STREAMS

ANALOG TEST SIGNAL GENERATION USING PERIODIC ~Ll-ENCODED DATA STREAMS ANALOG TEST SIGNAL GENERATION USING PERIODIC ~Ll-ENCODED DATA STREAMS THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE ANALOG CIRCUITS AND SIGNAL PROCESSING Consulting Editor: Mohammed

More information

Socio-technical Design of Ubiquitous Computing Systems

Socio-technical Design of Ubiquitous Computing Systems Socio-technical Design of Ubiquitous Computing Systems Klaus David Kurt Geihs Jan Marco Leimeister Alexander Roßnagel Ludger Schmidt Gerd Stumme Arno Wacker Editors Socio-technical Design of Ubiquitous

More information

Requirements Engineering for Digital Health

Requirements Engineering for Digital Health Requirements Engineering for Digital Health Samuel A. Fricker Christoph Thümmler Anastasius Gavras Editors Requirements Engineering for Digital Health Editors Samuel A. Fricker Blekinge Institute of Technology

More information

Social Understanding

Social Understanding Social Understanding THEORY AND DECISION LIBRARY General Editor: Julian Nida-Rümelin (Universität München) Series A: Philosophy and Methodology of the Social Sciences Series B: Mathematical and Statistical

More information

The Role of Systems Methodology in Social Science Research. Dedicated to my father, Ruggiero, and to the memory of my mother, Mary.

The Role of Systems Methodology in Social Science Research. Dedicated to my father, Ruggiero, and to the memory of my mother, Mary. The Role of Systems Methodology in Social Science Research Dedicated to my father, Ruggiero, and to the memory of my mother, Mary. Frontiers in Systems Research: Implications for the social sciences Vol.

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

Energy-Efficient Fault-Tolerant Systems

Energy-Efficient Fault-Tolerant Systems Energy-Efficient Fault-Tolerant Systems Jimson Mathew Rishad A. Shafik Dhiraj K. Pradhan Editors Energy-Efficient Fault-Tolerant Systems 123 Editors Jimson Mathew Department of Computer Science University

More information

Handling Emotions in Human-Computer Dialogues

Handling Emotions in Human-Computer Dialogues Handling Emotions in Human-Computer Dialogues Johannes Pittermann Angela Pittermann Wolfgang Minker Handling Emotions in Human-Computer Dialogues ABC Johannes Pittermann Universität Ulm Inst. Informationstechnik

More information

Nanoelectronic Circuit Design

Nanoelectronic Circuit Design Nanoelectronic Circuit Design Niraj K. Jha l Editors Deming Chen Nanoelectronic Circuit Design Editors Niraj K. Jha Department of Electrical Engineering Princeton University NJ, USA jha@princeton.edu

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information