Organic TFT 2016: Flexible Displays and other Applications

Size: px
Start display at page:

Download "Organic TFT 2016: Flexible Displays and other Applications"

Transcription

1 From Technologies to Market From Technologies to Market Organic TFT 2016: Flexible Displays and other Applications Are organic TFTs ready to disrupt the display industry and enable fully flexible devices? October 2016 Sample Picture Credit: Samsung Display

2 TABLE OF CONTENTS Table Of Contents p2 About the Authors p7 Who should Be Interested In this Report p8 Objective Of the Report p9 Scope Of the Report p10 Companies cited in the report p11 Glossary and Display Fundamentals p12 Definitions and Acronyms Display Application Trends and Requirements Evolution of Display Substrate Sizes Display Substrates Example: Gen 10 Display Resolutions Display structure Overview Executive Summary p19 The 2 page summary: Panel Industry Perspective Overview of TFT Channel Materials Pros and Cons Backplanes Technologies Vs Applications Status of the Display Backplane Industry TFT Capacity Breakdown TFT Capacity Forecast Potential for Organic TFT (OTFT) OTFT Technology Status OTFT Performance: Hype Versus Reality. OTFT Status: EPD and OLCD. Mid PPI flexible AMOLED for Wearable and Embedded displays. High end AMOLED and True flexibility? Conclusions: Potential for OTFT OTFT Applications Scorecard OTFT Application Roadmap Display Market: Panel consumption through 2022 OTFT adoption: Base Scenario OTFT adoption:aggressive Scenario Comparisons Overview of TFT Backplane Technologies and Market p55 Active and Passive Matrix TFT and OLED Pixel Structures TFT current OLED vs. LCD TFT Specific Challenges for AMOLED Backplanes Conclusions TFT Channel Materials Factors of Merits of the Various TFT Chanel Materials Mobility vs Display Specifications: TFT Technologies Vs Applications 2

3 TABLE OF CONTENTS TFT Backplane Technologies: Pros and Cons TFT Supply and Demand 2016 Geographic Breakdown Amorphous Silicon Trends Metal Oxide Trends LTPS and Organic TFT Trends Flexible Displays Overview and Technical Challenges p73 Definition Introduction Potential Benefits Display Structure Challenges for Flexible display Technology Bricks for Flexible displays Flexible Display: Roadmap Commercial Status What s coming next? Flexible Displays Manufacturing Status p86 Overview Flexible OLED capacity Expansion Plans Flexible EPD Status Front-planes For Flexible Displays p91 Front-plane Candidates for Flexible Displays E-Papers: Electrophoretic And Cholesteric LCD Flexible LCD Flexible OLED Examples Japan Display Corporation JOLED Sony Sharp Substrates For Flexible Displays p108 Requirements Major Types of Flexible Substrates Substrates Materials Substrates vs.tft process Temperature Flexible Substrate Processing Roughness and Planarization De-bonding Material Trends Backplanes For Flexible Displays p118 Introduction Candidates for Foldable Displays Technology Strategies for Flexible Backplanes Amorphous Silicon Amorphous Silicon for flexible Displays Low Temperature Polysilicon (LTPS) LTPS for flexible Displays 3

4 TABLE OF CONTENTS Commercial Flexible LTPS Displays. Metal Oxides Benefits of IGZO TFT versus a-si Evolution of IGZO technology at Sharp Other Metal Oxide TFT CBRITE Metal Oxides for flexible displays Illustration: LG 18 Flexible Display Illustration: SEL Flexible OLED Technology Solution Processed Oxide Other TFT:Amorphous Metal Non Linear Resistor (AMNR) Printed Dopant Polysilicon (PDPS) Graphene and Carbon Nanotubes Focus on Organic TFTs p143 Overview Potential Benefits Organic TFT And True Flexibility Small Molecules vs Polymers Performance: Hype versus Reality. Pixel density and Channel Length Frequency Cut-Off Discussion TFT Performance vs Application Mapping OTFT Applications Roadmap Illustrations OTFT for LCD Displays OTFT For E-Paper Organic TFT Manufacturing p167 Major OTFT Technology Bricks OTFT Structure:Top Gate Vs Bottom Gate Detailed OTFT Structure. Control of Crystallization (Small Molecules) Example: SmartKem Interface Engineering & Material choices Patterning & Compatibility with TFT Fabs Illustration: Patterning Example of Process Flow (Photolithography) Discussion Conclusions OTFT Cost Of Ownership p179 Key Benefits Requirements for Mass Production Bill Of Material Cost of Ownership Cost Of Ownership: Smartkem 4

5 TABLE OF CONTENTS Organic TFT Volume and Revenue forecast p187 Methodology Display Panel Market Applications Segment SWOT OTFT Forecast- Base Scenario p193 EPD (and other reflective/passive Technologies) Wearables Mobile Phones Embedded Displays:Automotive and Transportation Embedded Displays: Others Tablet, Laptops and convertible Digital Signage Monitors and TV:AMOLED Monitors and TV: LCD OTFT adoption trends: Summary Organic TFT Application Roadmap Volume and Price Hypothesis (OSC and Gate Insulator) OSC And OGI: Volume & Revenue Forecast Discussion:Aggressive Scenarios Technology Breakthrough Scenario #1: Printing Process p216 Overview Illustrations Key Hypothesis Results Technology Breakthrough Scenario #2:Vertical Transistors p221 Introduction Vertical OFET Vertical Organic Light Emitting Transistor (VOLET) Potential impact on market Price and consumption Hypothesis Conclusion Scenario Comparison and Conclusions p230 Scenario comparisons OSC and OGI Revenue Scenario Conclusion End Game Scenario Non Display Applications p236 Introduction Smart Tags Thin Film Electronics Sensors and Imager Arrays: ISORG Organic TFT Supply Chain p243 OSC Suppliers Supply Chain Fab activity 5

6 TABLE OF CONTENTS Selected Company Profiles p252 SmartKem Merck - EMD BASF Polyera Neudrive Annex: OLED Display Structure and Key Technologies p266 OLED: Operating Principle OLED Frontplane Structure Overview Full Amoled Display Structure (TFT + Frontplane) RGB OLED vs.white OLED Top and Bottom OLED Pixel Structures TFT and OLED Pixel Structures Summary: OLED Pixel Structures Discussion Expected Technology Evolution 6

7 ABOUT THE AUTHORS Biography & contact Eric VIREY received a Ph-D in Optoelectronics from the National Polytechnic Institute of Grenoble. He s held various R&D, engineering, manufacturing and marketing positions with Fortune 500 company Saint-Gobain in France and the United States. In its most recent position, he was Market Manager Optoelectronics in charge various product lines and serving the LED, optical telecommunication and display industries. In parallel, he was leading the corporate Lighting Community effort, acting as a market and technology competitive intelligence evangelist to identify and develop new business opportunities in solid state lighting and displays (LED/OLEDs) across multiple business units. Eric has a broad knowledge of the solid state lighting and display value chains. In 2009, he joined Yole Developpement as a Senior Market and Technology Analyst for Solid State Lighting and Displays Contact: virey@yole.fr Eric is also author / co-author of multiples reports (examples below) and contributed to various custom projects. LED Packaging Status of the LED Industry LED Front End Manufacturing Sapphire Market & Applications III-V Epitaxy Phosphors and Quantum Dots Bulk GaN GaN on Silicon 7

8 WHO SHOULD BE INTERESTED IN THIS REPORT Organic TFT material and technology suppliers Understand the flexible TFT and display panel ecosystem. Understand the panel maker requirements and constrains. Understand the real value of OTFT.. And the major roadblocks for adoption Understand the strength and weaknesses of other TFT technologies. OTFT competitive landscape: monitor and benchmark your competitors Evaluate the volume and revenue potential for your product R&D Organizations and Universities Understand the market potential of your technologies for emerging markets Identify the best candidates for collaboration and technology transfer. Display Makers Hype versus reality: what is the status of Organic TFT? What can we expect in the near future? Which application can OTFT address? A detailed roadmap. What are the benefits and drawbacks of OTFT vs established technologies? Find the right partner: detailed mapping of the OTFT ecosystem: channel and full TFT stack providers. Financial and strategic investors Understand and value chain of the TFT industry and the challenges for flexible displays. Key players and emerging start up in organic semiconductors. 8

9 OBJECTIVE OF THE REPORT The report provides a complete review of established TFT backplanes technologies and a full analysis of the status and prospect of Organic Thin Film Transistors for displays and other applications. Understand the current status of the flexible display industry: Overview of the various types of flexible displays and main challenges associated in term of front plane (LCD, OLED, EPD), backplanes and substrates. Current status of flexible display manufacturing: key players, fabs, capacity) Market data, application focus, analysis, deep understanding Understand the specific challenges related to the TFT backplane: Detailed overview of the various types of established TFT backplanes: a-si, LTPS, Metal Oxide Capacity trends per technology. Their respective potential and associated challenges for flexible displays. The first comprehensive study of Organic TFT for displays and other applications: Technologies roadmap, SWOT analysis, manufacturing challenges, OTFT in the fab. Application analysis: pros and cons of OTFT for each segment, application roadmap. OTFT forecast ( ): 3 different scenario with total OTFT surface (m2), OSC channel and gate dielectric volumes (kg) and revenue (US$M), ASP forecast. 9

10 SCOPE OF THE REPORT There are numerous potential applications for Organic TFTs. Examples include flexible, large-area electronics applications, such as TFT backplanes for flexible displays, conformable sensor arrays, and plastic circuits. This report focuses on display applications which represent the single largest mid/short-term application potential for OTFT. TV & Monitor s E-readers Smart Card, Smart tags E-Ink Sensors Temperature, biological, electrochemical Digital Signag e Embedded (Automotive, Industrial, Medical ) LCD Imagers Sensor Arrays, Imagers (X-Ray, fingerprint ) Wearables OLED Logic Mobile E-Skin, wearable sensors True-flexible, wearable sensors, e-skin etc Flexible smart systems, logic 10

11 CHALLENGES FOR FLEXIBLE DISPLAY Flexible Display: every single layer needs to be flexible! Cover Lens and coatings (AR, anti fingerprint ) Touch sensor (glass, electrodes..) Encapsulation (OLED only) Frontplane (LC cells, polarizers, color filter substrate ) TFT (electrodes, channel, dielectric, passivation ) TFT Substrate Backlight (LCD display only) In addition to the requirement on each individual layer, delamination and creasing of the stacked layers must be eliminated. The total number of layers and the total thickness must be minimized to reduce the stress experienced by the layers. Ideally, the structure must be designed so that the most fragile and critical layer can be moved to the neutral plane (experiencing the least stress) in the stack. 11

12 EXAMPLES AUO BOE (CN) BOE (CN) Feature Specs Presented August 2015 Size (Diagonal) 5 Resolution / ppi 1280x720/ 295 ppi TFT LTPS Substrate PI Panel Thickness 200 µm with touch OLED Structure RGB+CF / Top Emission Encapsulation TFE Bending Radius > 500k cycles at 4 mm Feature Specs Presented May 2014 Size (Diagonal) 9.55 Resolution / ppi 640x432 / 81 TFT A-IGZO Substrate PI Panel Thickness? OLED Structure RGB / Top Emission Encapsulation TFE + barrier film Bending Radius < 20 mm Feature Specs Presented May 2016 (SID) Size (Diagonal) 4.35 Resolution / ppi 1120x480 / 280 TFT? Substrate? Panel Thickness? OLED Structure? Encapsulation TFE Bending Radius 5 mm 12

13 FLEXIBLE OLED CAPACITY EXPANSION PLANS (1) Company Prototype Mass Production and beyond XXXX Yes Fab Name X: Gen 4.5 LTPS with XXk substrate capacity producing small volume rigid OLED + flexible OLED pilot. The company is cautious about OLED investment. XXXX Yes No Fab Name X: 2 Gen 6 LTPS lines with XXk substrate capacity each. One line dedicated to flexible OLED. Production to start in Q Total investment: US$Xb. XXXX Yes No No plans announced XXXX Yes No XXXX Yes No Fab Name X: Gen 4.5 LTPS fab. Started OLED production at XXk substrate capacity in Plan to start flexible OLED in Q Fab Name XGen 6 LTPS with XXk substrate capacity. Construction to start end of 2016, production in H will include flexible OLED. Fab Name X: Gen 6 LTPS. Plan to manufacture OLED from will have to include flexible OLED if expect to remain an Apple supplier. XXXX Yes Fab Name X: Gen 4.5 LTPS with XXk substrates for flexible OLED. Supplies YYY Fab Name X: Gen 6 LTPS with initial capacity of XXk substrate for flexible OLED to start in H Total investment US$XXXm XXXX Yes No? XXXX Yes No? 13

14 MAJOR TYPES OF FLEXIBLE SUBSTRATES Flexible Glass (Corning Willow, Schott ) Metal foils (Stainless steel ) Common optical polymer films (PET, PEN, PC, TAC, PMMA ) High Temperature Polymer optical Films (PI, PES, PEEK ) Pros Excellent optical properties Process ready surface Good oxygen and moisture barrier. Low CTE Mechanical and thermal characteristics well suited for TFT manufacturing process. Good oxygen and moisture barriers (no need for back encapsulation layer). Fairly low cost Excellent optical transparency. Low cost. Compatible with some or all TFT process temperatures (with some adjustments) Low CTE (PI) Cons Can t be bent to small radius (2016 best in class: 9 mm). Break easily, challenging to handle in manufacturing. Not transparent. Poor surface roughness (require planarization layer or chemical-mechanical polishing) Must be isolated to avoid parasitic capacitance with the TFT circuits. Can t restored to original shape once bent at a low radius. Tend to birefringent: not suitable for LCD. High CTE Poor surface roughness (require planarization layer) Low glass transition temperature Tg: unsuitable for traditional TFT processes (a-si, LTPS or oxides). PI: Strong absorption in the visible spectra unsuitable for bottom emission and transparent displays. High CTE (PES) High cost (>glass for displaygrade PI) 14

15 TFT AND OLED PIXEL STRUCTURES There are various TFT architectures: Gate Source Gate Insulator Source Channel Drain Substrate Channel Gate Insulator Gate Substrate Drain Top Gate TFT Bottom Gate TFT In Bottom Emission display structures, the light generated by the pixel is emitted toward the TFT. In this configurations, the TFT array therefore blocks some of the light or reduces the active surface of the pixel. It is therefore important to minimize the size of the TFT circuitry to increase display brightness. Full Pixel OLED Emitting area Aperture ratio: in this example, the aperture ratio is ~ 30% Picture: Carbon Nanotube Driver Circuit for 6 6 Organic Light Emitting Diode Display, Jianping Zou et al. Scientific Reports 5, # (2015) Example of TFTs fabrication steps: (a) gate definition, (b) gate-insulator deposition, (c) channel layer deposition (d) source/drain (S/D) definition, (e) passivation (source: Transparent Oxide Semiconductors for Advanced Display Applications, Arokia Nathan, Information Display, Jan 2013) 15

16 2016 TFT GEOGRAPHIC BREAKDOWN Korea still dominates with close to 35% of worldwide capacity, followed by Taiwan with 30%. China currently represents 27% of the total capacity but is expected to become #1 by 2018 and capture 38% of the total China is on track to become the #1 country in term of panel manufacturing capacity by : Inner circle 2018: Outer circle 16

17 DISPLAY MARKET: PANEL CONSUMPTION THROUGH 2022 We estimate that the demand for TFT display panels will reach XXX Millions of m 2 in 2016 and increase to XXXM of m 2 by Demand is strongly dominated by large displays: television and computer monitors. Smartphones come third despite their smaller area thanks to very high volumes. Together, those 3 segments represent > XX% of the total. Wearables (represented by the categories smartwatches and other wearable ) as well as embedded displays (automotive etc ) are expect to experience fast growth but remain a small fraction of about XX% of the total TFT market. Note that other wearable category is a catch all category to account for wearable devices that are not smartwatches. Those include wristband, fitness trackers etc and possibly new applications. 17

18 MOBILITY VS DISPLAY SPECIFICATIONS: Required Mobility (cm 2 /Vs) Refresh Rate Due to low mobility, a-si 4k2k panels are limited to 120 Hz refresh rate other TFT materials such as Oxides or LTPS are required for high end 4K2K TVs with reduced motion blur and good 3D performance. 100 Refresh Rate & Resolution HD 2k1k 4k2k 8k4k HD 2k1k 1920x1080 4k2k UHD1 4096x2160 8k4k UHD2 8192x4320 LPTS, New Oxides (?) Hz Single Scan Blur free + 3D IGZO Line Time (µs) for different combinations of resolution and refresh rates (e.g.: for UHD at 120Hz, only have 3.85 µs for each line) Hz Single Scan Blur free or 3D 120Hz Single Scan Reduced blur 120Hz Dual Scan a-si 1M 10M 100M Number of Pixels High resolution TVs also require high performance TFT materials (Yole, derived from Matsueda Y 2010, Digest of Int. Transistor Conf. 2010) 18

19 OTFT PERFORMANCE: HYPE VERSUS REALITY. Communication of OTFT performance is often focused on mobility. But other parameters such as homogeneity, reliability, manufacturability, cost of ownership etc.. are equally important. Mobility State of the art molecular engineering allows to deliver on all those criteria: all the building blocks are ready in the lab. But bringing it all together is another challenge. Cost Homogeneity Ultimately, the best OSC for mass production of devices might not be the one with the highest electrical performance but rather, one designed for the application that offers the best compromise on those requirements. Material suppliers and OTFT manufacturers will eventually develop increasingly versatile process and material toolboxes allowing them to adjust the materials and the TFT process to each application. Manufacturability Environmental Stability Electrical Stability 19

20 SMALL MOLECULES VS POLYMERS While mobility is a critical parameter, reproducibility and processability are equally important. W Small molecules tend to be ahead in term of performance but crystallization is difficult to control. This creates homogeneity and reproducibility issues. L Small molecule and polymer each have their pros and cons. But materials and processes have improved dramatically for both over the last few years. Amorphous and polymer materials have a wider process window but lag in term of performance. Mobility L W/L = 1000/50 µm Small molecule OTFT (Merck) W Polymers W/L = 1000/20 µm Homogeneity Polymer OTFT (Merck) 20

21 PIXEL DENSITY AND CHANNEL LENGTH As the resolution of mobile displays increases, the available surface for the TFT circuit decreases. In the last 2 generations of Samsung s Galaxy S6 and S7 phones (discussed below), we estimate that the 5 transistors + 2 capacitor + data lines required to drive each subpixel must fit in a ~ XX x XX µm 2 box (Samsung uses a top emission structure so the circuit can occupy the entire pixel area). This estimate was confirmed by our sister company System+ Consulting which conducted a tear down analysis of the Galaxy S6 (next pages) XX µm The human eye is most sensitive to green, especially for high resolution luminance information. The Galaxy displays exploit this feature and use a pentile diamond subpixel arrangement in which green pixels are interleaved with red and blue pixels: The green subpixels are mapped to input pixels on a one to one basis, reconstructing the luminance signal to the display resolution (1440 x 2560). The red and blue subpixels are subsampled, reconstructing the chromatic signal at a lower resolution. The Red, Green, and Blue sub-pixels have different sizes. The blue ones are the largest because of the low material efficiency and human eye response sensitivity. Feature Value Display resolution 1440 x 2560 Display size Pixel density Sub pixel count 2.49 x 4.43 (5.1 inch diagonal) 577 PPI Green: 3.69 millions (577 PPI) Red, blue : 1.84 millions (408 PPI) each Total: 7.37 millions XX µm Average subpixel area XXX µm2 Estimated circuit area XX µm x XX µm XX µm Subpixel driving circuit 5T1C Pentile diamond subpixel arrangement in Samsung Galaxy S6 and S7 series. 21

22 EXAMPLE OF PROCESS FLOW (PHOTOLITHOGRAPHY) Source & Drain Mask #1 Au, Ag, Cu or Al: sputtering (typical: 50 nm/5nm) Patterned by photolithography + wet etching. SAM treatment on contacts. OSC and OGI No Mask OSC (typ: nm) and OGI deposited by slot die or spin coating (small substrates) OGI must be orthogonal to OSC Gate Dielectric Gate Mask #2 Ag, Al, Cu or ITO: sputtering (typical: 50nm) Patterned by photolithography + wet etching (additional passivation and/or adhesion layer required before depositing and patterning the gate) Gate Gate Dielectric S D S OSC D S OSC D Flexible film substrate Flexible film substrate Flexible film substrate Glass Carrier Glass Carrier Glass Carrier Contacts Mask 4 Au: sputtering (typical: 50 nm) Passivation Gate Gate Dielectric S OSC D Flexible film substrate Glass Carrier Passivation Mask 3 Solution processable (e.g.: Polyvinalalcohol) formulated as a negative tone resist, deposited by slot die. Patterning by photolithography (material can be directly photo patternable) Passivation Gate Gate Dielectric S OSC D Flexible film substrate Glass Carrier Organic Layers Patterning Dry etching (RIE) Use the gate metal as the mask Gate Gate Dielectric S OSC D Flexible film substrate Glass Carrier 22

23 BILL OF MATERIAL The level of complexity in the molecular engineering and manufacturing process of the OSC is not too different from that of OLED materials such as the ETL, HTL etc... As volume increase, the cost of the OSC material could decrease toward similar levels (<$XX/g) The cost per gram of the gate dielectric is lower than that of the OSC but offers less opportunity for cost reduction. Long term cost will depend on the chemistry and the level of functionalities put into them (crosslinked, photo-patternable etc ). The binder material used in the ink formulation is not highly engineered and generally low cost, to the exception of companies that use a low performance polymer semiconductor. We estimate that the BOM for OTFT could drop by 40 to 50% over the next 3-5 years and a factor of 2.5 to 3x if adoption rate enable high volumes and economies of scale. Ultimately, transition to an additive manufacturing process (inkjet or other printing technology) could further reduce the cost. Key Hypothesis Short term Mid term - Base High Volume OSC cost ($/g) $XXX $XXX $XXX Binder cost ($/g) $XXX $XXX $XXX OGI ($/g) $XXX $XXX $XXX Metal ($/g) $XXX $XXX $XXX OSC & OGI Thickness OSC: XX nm OGI: XX nm OSC: XX nm OGI: XX nm OSC: XX nm OGI: XX nm Organic material utilization efficiency (Slot dye coating) 75% 75% 75% 23

24 OSC AND OGI REVENUE FORECAST THROUGH 2022 To create a significant market, a technology breakthrough, or an acceleration of the current OTFT roadmap is required. The base scenario shows that under the current technology roadmap and status of the industry, OTFT will remain a niche market. The market for OSC materials remains small and can t support more than a couple of companies able to capture the most value by selling all materials in the stack. Under this scenario, it is not clear if the industry will reach a critical mass to allow a massive take off. Will material suppliers and panel makers continue to invest to enable a larger market? Our breakthrough scenarios illustrate how further technology advancements could enable a much larger market. The underlying assumption for those scenario are: The Printing scenario accelerates penetration of AMOLED TVs versus LCD by reducing manufacturing cost. It provides incentive for panel makers to accelerate development of printable TFTs. This enables OTFT penetration in TVs The Vertical TFT scenario accelerates OTFT performance and increases OSC consumption per unit surface. 24

25 THIN FILM ELECTRONICS The company initially developed various types of organic electronic devices in collaboration with Xerox PARC, including a display driver based on complementary organic logic demonstrated in Its commercial and development efforts now focus on thin film memories, smart labels and NFC barcodes. Its temperature threshold labels were initially based on OTFT with materials supplied by Polyera. Its sensing and logic development efforts (NFC-enables smart labels that combine sensing + wireless communication) however are focused on Printed Dopant Polysilicon (PDPS).The technology was acquired from Kovio / Printed Logic in 2014 Thinfilm s Product Overview (source: Company) 25

26 SMARTKEM (1) SmartKem was founded in 2009 and is based in Manchester (UK). The company sells or licenses its semiconductor inks and has the ability to deliver test kits including full TFT arrays [1]. As of Q4-2015, the company had 35 employees, rising to 40 by the end of The team includes former employees of Samsung, Merck, Kodak, Liquivista, CDT,Avecia, ICI, Philips and Qualcomm. SmartKem has announced 4 joint-development agreement (JDA) and 2 Letters of intent (LOI) with various partners including some major Asian display manufacturers. The intention of these agreements is to transfer SmartKem OSC technology into existing production lines at those display manufacturers once process feasibility has been established. SmartKem also has a JDA with BASF and in August 2014, the company raised 3 million in a series A funding including 1 million from BASF ventures and other investors. BASF stated that The technologies and portfolios of both SmartKem and BASF have complementary aspects; moreover, BASF has a long experience on bringing technologies from an early stage to commercialization, leading to collaboration to help speed up the market penetration of flexible organic electronics. In this case, BASF VC has been supporting the company in their prioritization of the infrastructure needed to commercialize their products, drawing on experience from BASF s core chemical business. SmartKem technology platform marketed under the trademark truflex consists of high mobility organic semiconductor molecules and inks for the fabrication of TFT backplanes. The company offers a full stack toolbox including OSC, OGI, passivation, planarization materials as well as processes and transistor designs. MOLECULES Fully synthesized and purified small molecule organic semiconductors and fully synthesized functional polymers. INKS Formulations comprising of SmartKem molecules in suitable solvent systems which are customized for wet processing techniques such as printing and coating. PROCESSING Specialized know-how relating to wet processing methods and fabrication conditions DEVICES Specialized know-how relating to the construction and overall materials stack for Organic Thin Film Transistors (OTFT) [1] In order to test and demonstrate its products, the company has the capabilities to produce its own TFT's using combinations of photolithography, printing and coating methods (uses shadow and gate masks). 26

27 RELATED REPORTS Discover more related reports within our bundles here. 27

28 Yole Développement From Technologies to Market 2016

29 FIELDS OF EXPERTISE Yole Développement s 30 analysts operate in the following areas Imaging Photonics MEMS & Sensors MedTech Compound Semi. LED Manufacturing Power Electronics Batteries / Energy Management Advanced Packaging 29

30 4 BUSINESS MODELS o Consulting and Analysis Market data & research, marketing analysis Technology analysis Strategy consulting Reverse engineering & costing Patent analysis o Financial services M&A (buying and selling) Due diligence Fundraising Maturation of companies IP portfolio management & optimization o Reports o Media Market & Technology reports i-micronews.com website Patent Investigation and patent infringement e-newsletter analysis Communication & webcast services Teardowns & Reverse Costing Analysis Events Cost Simulation Tool

31 A GROUP OF COMPANIES M&A operations Due diligences Innovation and business maker Market, technology and strategy consulting Manufacturing costs analysis Teardown and reverse engineering Cost simulation tools IP analysis Patent assessment 31

32 OUR GLOBAL ACTIVITY 32

33 RESEARCH PRODUCTS - CONTENT COMPARISON Depth of the analysis Breadth of the analysis Standard Reports Workshops Custom Analysis Custom analysis scope is defined with you to meet your information and budget needs 33

34 SERVING THE ENTIRE SUPPLY CHAIN Integrators and end-users Our analysts provide market analysis, technology evaluation, and business plan along the entire supply chain Device makers Suppliers: material, equipment, OSAT, foundries Financial investors, R&D centers 34

35 SERVING MULTIPLE INDUSTRIAL FIELDS We are working accross multiples industries to understand the impact of More-than- Moore technologies from device to system Energy Industrial and defense Transportation makers Medical systems Automotive Mobile phone and consumer electronics From A to Z 35

36 REPORTS COLLECTION o Yole Développement publishes a comprehensive collection of market & technology reports and patent analysis in: MEMS & Sensors Imaging Medical technologies (MedTech) Photonics Advanced packaging Manufacturing Power electronics Batteries and Energy management Compound semiconductors LED o Our reports are unmatched in quality and technology depth and typically include: Technology trends and evolution: costs, barriers, roadmaps, etc. Supply & value chain analysis: business models, relationships, value flows, etc. In-depth analysis of applications and market drivers: challenges, inflection points, etc. Market data ($, units, wafer starts, etc.) Patent investigation Component and module reverse engineering and costing analysis o Every year, Yole Développement, System Plus Consulting and Knowmade publish +70 reports. o Take the full benefit from our Bundle and Annual Subscription offers. 36

37 OUR 2016 REPORTS PLANNING (1/2) MARKET AND TECHNOLOGY REPORTS by Yole Développement o MEMS & SENSORS Gas Sensor Technology and Market 2016 Status of the MEMS Industry 2016* Sensors for Cellphones and Tablets 2016 Market and Technology Trends of Inkjet Printheads: Towards New Printing Opportunities 2016 Sensors for Biometry and Recognition 2016 Finger Print Sensors Market and Technologies D Imaging & Sensing 2016** Silicon Photonics 2016 Emerging Non Volatile Memories 2016* o IMAGING & OPTOELECTRONICS Sensors for Drones and Robots: Market Opportunities and Technology Revolution 2016 Status of the CMOS Image Sensor Industry 2016* Uncooled Infrared Imaging Technology & Market Trends 2016* Imaging Technologies for Automotive D Imaging & Sensing 2016** Silicon Photonics 2016 o ADVANCED PACKAGING FanOut WLP: Technology Trends and Business Update 2016* Embedded Die Packaging: Technology and Markets Trends 2016* 2.5D & 3D IC Business Update 2016 Status of the Advanced Packaging Industry 2016* Advanced Packaging in Emerging Markets: China 2016 o MANUFACTURING Inspection and Metrology Technology and Applications Trends in Advanced Packaging 2016** Equipment and Materials for Fan Out Technology (Wafer vs Panel) Equipment and Materials for Advanced Substrates Equipment and Materials for 3D T(X)V Technology Thinning & Dicing Equipment for Advanced Packaging, MEMS, Photovoltaics, LED, CMOS 2016 **To be confirmed o MEDTECH BioMEMS: Microsystems for Healthcare Applications 2016 Point of Need Testing 2016: Application of Microfluidic Technologies 37

38 OUR 2016 REPORTS PLANNING (2/2) o o o COMPOUND SEMICONDUCTORS GaN RF Devices Market: Applications, Players, Technology, and Substrates SiC Modules, Devices and Substrates for Power Electronics 2016* GaN Modules, Devices and Substrates for Power Electronics 2016* Sapphire Applications & Market 2016: from LED to Consumer Electronics* LED Automotive Lighting: Technology, Industry, and Market Trends 2016 OLED for Lighting - Technology, Industry and Market Trends 2016 Sapphire Applications and Market 2016: From LED to Consumer Electronics* LED Packaging 2016 Microdisplays and MicroLEDs UV LED Technology, Manufacturing and Applications Trends 2016* POWER ELECTRONICS Power Electronics for EV/HEV 2016: Market, Innovations and Trends GaN RF Devices Market: Applications, Players, Technology, and Substrates Opportunities for Power Electronics in Renewable Electricity Generation 2016 Status of Power Electronics Industry 2016* Passive Components Technologies and Market Trends for Power Electronics 2016 SiC Modules, Devices and Substrates for Power Electronics 2016* GaN Modules, Devices and Substrates for Power Electronics 2016* Inverter Technologies Trends & Market Expectations 2016 Thermal Management for LED and Power 2016 PATENT ANALYSIS by Knowmade o o o Patent Infringement (crossed analysis based on Knowmade and System Plus Analysis expertise) Patent Investigation (crossed analysis based on Knowmade & Yole Développement expertise) Patent Landscape TEARDOWN & REVERSE COSTING by System Plus Consulting More than 30 teardowns and reverse costing analysis and cost simulation tools to be published in o BATTERY Market Trends and Technologies in Battery Pack and Assembly 2016 Innovative and Emerging Technologies in Energy Storage Market 2016 **To be confirmed 38

39 OUR 2015 PUBLISHED REPORTS LIST o o o o MEMS & SENSORS Sensors and Data Management for Autonomous Vehicles Sensors for Wearable Electronics And Mobile Healthcare Status of the MEMS Industry Uncooled Infrared Imaging Technology & Market Trends Infrared Detector Technology & Market Trends High-End Gyroscopes, Accelerometers and IMUs for Defense, Aerospace & Industrial Emerging Non Volatile Memory (NVM) Technology & Market Trends IMAGING & OPTOELECTRONICS Camera Module Industry Uncooled Infrared Imaging Technology & Market Trends Status of the CMOS Image Sensors Infrared Detector Technology & Market Trends MEDTECH Sample Preparation Automation Through Emerging Microfluidic Technologies 2015 Microfluidic Applications in the Pharmaceutical, Life Sciences, In-Vitro Diagnostic, and Medical Device Markets Sensors for Wearable Electronics And Mobile Healthcare COMPOUND SEMICONDUCTORS Sapphire Applications & Market 2015: from LED to Consumer Electronics SiC, GaN, and other Wide Band Gap (WBG) materials for power electronics applications GaN and SiC Devices for Power Electronics Applications o o o POWER ELECTRONICS Power Packaging Technology Trends and Market Expectations Energy Management for Smart Grid, Cities and Buildings: Opportunities for Battery Electricity Storage Solutions Status of Chinese Power Electronics Industry New Technologies and Architectures for Efficient Data Center IGBT Market and Technology Trends Status of Power Electronics Industry SiC, GaN, and other Wide Band Gap (WBG) materials for power electronics applications GaN and SiC Devices for Power Electronics Applications ADVANCED PACKAGING Status of the Advanced Packaging Industry Supply Chain Readiness for Panel Manufacturing in Packaging Fan-in Wafer Level Packaging: Market and Technology Trends Flip Chip: Technologies and Markets Trends Fan-Out and Embedded Die: Technologies & Market Trends MANUFACTURING Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED Applications Emerging Non Volatile Memory (NVM) Technology & Market Trends o LED LED Lighting Module Technology, Industry and Market Trends 2015 UV LED - Technology, Manufacturing and Application Trends Phosphors & Quantum Dots 2015: LED Downconverters for Lighting & Displays Sapphire Applications & Market 2015: from LED to Consumer Electronics 39

40 MICRONEWS MEDIA o About Micronews Media Micronews Media, powered by Yole Développement, ensures you the best visibility in the disruptive semiconductor community. With our services, we help you to reach your customers worldwide with the media products they prefer, including our website, e-newsletter, webcasts, and magazines. Invest in a high addedvalue editorial program and get access to Yole Développement s network ( contacts). Focused community o Four supports and channels for your visibility A webcast to highlight your expertise and develop your business identifying commercial leads Articles, advertisements & logo and banners dedicated to your company, its products and expertise e- newsletter and on i-micronews.com Large community Mass contacts Identified contacts 40

41 COMMUNICATION SERVICES All services listed below are available on demand. o i-micronews.com, the website Slider Banners (on English or Japanese websites) Articles Logo and profile as sponsor the e-newsletter Headline article - Tiles o Custom webcast Develop your dedicated event with a high added-value program. A turnkey event with Yole support (logistics, promotion, data ) Contacts: Camille Veyrier (veyrier@yole.fr) and Clotilde Fabre (fabre@yole.fr), Marketing & Communication Project Managers. 41

42 CONTACT INFORMATION Consulting and Specific Analysis North America: Steve LaFerriere, Director of Northern America Business Development Japan & Asia: Takashi Onozawa, Representative Director, Yole KK RoW: Jean-Christophe Eloy, CEO & President, Yole Développement Report business North America: Steve LaFerriere, Director of Northern America Business Development Europe: Lizzie Levenez, EMEA Business Development Manager Japan & Asia: Takashi Onozawa, Representative Director, Yole KK. Greater China: Mavis Wang, Business Development Director Follow us on Financial services Jean-Christophe Eloy, CEO & President General 42

43 ORGANIC THIN FILM TRANSISTOR (OTFT) 2016: FLEXIBLE DISPLAYS AND OTHER APPLICATIONS Market & Technology report - October 2016 Are OTFTs ready to disrupt the display industry and enable fully-flexible devices? KEY FEATURES OF THE REPORT Get the sample of the report on Flexible displays: benefits, challenges, roadmap, technologies (frontplanes, backplanes and substrates) Backplanes for flexible displays: a-si, LTPS, metal-oxide, OTFT OTFT performance roadmap, challenges, cost of ownership, progress toward the fab, SWOT analysis OTFT application roadmaps: detailed segment analysis (wearable, mobile, laptops & tablets, TV & monitors, automotive & embedded displays, digital signage, e-readers) OTFT materials volume and revenue forecast through 2022 OBJECTIVES OF THE REPORT This report provides a full analysis of the status and prospects for organic thin film transistors (OTFT) for displays and other applications: Main challenges for producing flexible displays TFT backplanes: technology review, capacity analysis, potential for flexible displays OTFTs: technology roadmap, SWOT analysis, manufacturing challenges, OTFT in the fab Application: pros and cons of OTFT for each segment, application roadmap, penetration forecast forecast: total OTFT surface (m 2 ), channel and gate dielectric volumes (kg) and revenue (US$M), ASP forecast ORGANIC TFTs ARE ENTERING THE FAB BY THE BACK DOOR When trying to build a flexible display panel, the Thin Film Transistor (TFT) matrix is one of the most challenging and fragile functional layers. Interest in OTFT emerged in the mid-2000s when mobility reached values similar to amorphous silicon (a-si), the dominant display backplane technology. This triggered a flurry of activity at leading display manufacturers, and prototypes rapidly emerged. Besides fast-improving electrical performance, OTFT s intrinsic flexibility made the technology ideal for the realization of flexible displays. In 2007, the first ever flexible AMOLED panel was demonstrated by Sony and featured an organic TFT. However, interest waned as performance and homogeneity issues persisted, and other TFT technologies like LTPS and metal oxide emerged. Nevertheless, organic semiconductor companies kept perfecting their molecules and ink formulations, gaining a better understanding of the interaction between the materials, the transistor structure, and the manufacturing process. Consequently, performance in the lab improved by another order of magnitude. Combined with the explosive growth of flexible displays and the promise of a cost-efficient, solution-based Mobility (cm 2 /vs) Curved Bendable / Conformable LTPS Metal Oxide X-Ray Imager a-si 10 TFT performance and flexibility EPD Foldable / Rollable 1 manufacturing process, interest in OTFT has renewed. Panel makers remain cautious, but a handful in Taiwan and China are currently attempting to retrofit older Gen fabs with OTFT. These first attempts to move OTFT into mass production will be critical for the technology s future. Failure in these initial industrialization attempts could be fatal for the OTFT industry, or, at the very least, set it back many years. However, if OTFT proves that it can be mass produced and enables panel makers to revive those obsolete fabs with high-margin flexible displays, there are no fundamental barriers prohibiting the technology from being quickly scaled up to fabs Gen 8 or above, and possibly challenge the vast market for traditional a-si based panels like LCD TV, monitors, etc. In the long-term, because they are inherently solution-processable, OTFTs are also an ideal backplane candidate for additive manufacturing and fully printed displays. This report presents a detailed review of flexible displays, including an analysis of technical challenges (frontplane, backplane, substrates), manufacturing status, key players, and technologies. This report also provides a complete review of established TFT backplane technologies. Possible extension of oxide flexibility? Mid-resolution Wearable displays (<300 ppi) True flexibility OTFT 2016 lab result / Mid term fab goal (5 years) OTFT 2016 Fab-level performance 0.1 Medical sensors 0.01 Bending radius (mm) (Yole Développement, October 2016) ORGANIC SEMICONDUCTOR (OSC) SUPPLIERS HAVE MORPHED INTO FULL SOLUTION PROVIDERS The display panel industry tends to require high capex in order to generate fairly low margins. Companies are therefore relatively conservative when it comes to switching to new TFT. They look for technologies that: Are highly compatible with existing process and equipment. Generate higher margins than the incumbent technology by allowing higher added-value products (OLED, flexible displays) and/or reduced manufacturing costs.

44 ORGANIC THIN FILM TRANSISTOR (OTFT) 2016: FLEXIBLE DISPLAYS AND OTHER APPLICATIONS Contacts materials, SAM treatment Surface roughness and interfaces Other surface treatment to control wetting Surface free energy and phase separation Organic semiconductor suppliers have realized that success means offering turnkey solutions comprising System approach for OTFT performance optimization Limit damages of critical layers Precise control of patterns (lithography or printing) Control of crystallization (electric field, directional crystallization, substrate patterning ) Optimization of non OSC materials and surfaces Manufacturing process (Yole Développement, October 2016) OSC molecule engineering OTFT Performance High charge mobility Stability Transistor architecture & design Ink engineering Polymer / small molecule blends Control of phase separation Design for manufacturing Contact resistance Wavy channels Optimized thicknesses: OSC, OGI the full material stack, and processes as compatible as possible with old a-si equipment. This means photopatternable, cross-linkable materials that can be processed with existing broadband lithography tools already present in the fab, and which can withstand traditional patterning and etching techniques. In the short-term, this puts significant stress on companies that started as small teams of organic materials experts. These companies now must access additional capital and set up partnerships in order to access fab equipment and develop a full process toolbox. However, in the long-term this will permit surviving companies to capture more added-value than by simply supplying the channel material. This report presents a detailed analysis of OTFT, including benefits, challenges, performance status, and roadmaps. Additionally, this report provides an analysis of OTFT manufacturing (technologies, structure, etc.), cost of ownership, and competitive landscape (i.e. supply chain, OSC suppliers). Company profiles for key players are also included. ORGANIC TFTs ARE SEARCHING FOR THEIR FIRST KILLER APPLICATION OTFT mobility in the lab now exceeds 10 cm 2 /vs, with record values up to 40 cm 2 /vs, theoretically good enough to drive all display types and compete with all TFT technologies. But while these results are a good indication of the progress made with organic semiconductors, they have been obtained in conditions far from practical in actual fabs and commercial devices. Current realistic fab-level performance stands in the range of 1-2 cm 2 /vs, with a credible path toward 3-5 cm 2 /vs over the next 18 months - 3 years, and possibly 10 cm 2 /vs and above within 5-10 years. OTFT performance vs. applications mapping Mobility (cm²/vs) 100 Long term OSC potential? OLED TV FHD to UHD New applications: flexible OLED in wearable & embeddable Conformable OLCD in wearable & embeddable LCD TV FHD to UHD (Yole Développement, October 2016) Virtual Reality / Augmented Reality Mobile OLED FHD to UHD 0.3 Sensors EPD EWD 2016 OSC performance Pixel status density 0.1 (PPI) Traditional applications Mobile LCD FHD to UHD Applications with strong potential for OTFT? At the current level, OTFTs are already superior to the dominant a-si platform and enable a whole new range of very cost-effective, flexible electrophoretic and LCD displays with a bending radius as low as 30 mm. Not exactly foldable, but flexible enough to conform to curved surfaces in all application types, such as embedded displays in automotive, consumer appliances, and digital signage. These displays also feature reduced weight and thickness and improved ruggedness from their plastic-based construction. The aforementioned applications could become a stepping stone for OTFT, allowing panel makers to validate and optimize the technology in their Gen fabs and prepare to scale up to larger substrates while material suppliers fine-tune their molecules to reach performance sufficient to drive mid-pixel density OLEDs. This would unlock a whole new range of applications by enabling cost-effective manufacturing of low power consumption, fully flexible displays for wearable and mobile applications. From there, it remains to be seen if performance will further improve in order to serve the high-end OLED market, or if OTFT can deliver on its costeffectiveness promises. If so, OTFT could become a credible alternative to a-si in the manufacture of large LCD panels, enabling higher resolution and higher brightness - features that are critical to the UHD TV market s emergence. This report focuses on OTFT display applications and the associated market volume for the period , broken down in 13 segments. Moreover, this report provides an analysis of market volume and revenue for organic semiconductors (OSC) and gate insulators (i.e.: OGI). Our forecasts have been developed on the basis of different scenarios, taking into account potential future technology breakthroughs (i.e. printing process, vertical transistors).

45 MARKET & TECHNOLOGY REPORT COMPANIES CITED IN THE REPORT (non exhaustive list) Amorphyx, Apple, Ares Materials, AU Optronics, BASF, BOE, Cambridge Display Technology, Cbrite, CEC Panda, Chunghwa Picture Tube, Coherent, Corning, CSOT, Dupont, Dupont Teijin, E-Ink, ETC. SAES, Everdisplay Corporation, Evonik, FlexEnable, FlexInk, FlexUp, Fujifilm, Hannstar, Innolux, ISORG, Japan Display, JOLED, Kaneka, Kateeva, Kolon, Konika Minolta, LG Displays, Merck, MGC, Mitsubishi Chemical, Neudrive, New Vision, Nippon Kayaku, Novaled, nverpix, Panasonic, Plastic Logic, Polyera, Ricoh, Royole, Samsung, Schott, Seiko Epson, SEL, Sharp, SmartKem, Solip Technology, Sony, Sumitomo Bakelite, ThinFilm electronics, Tianma, Tokyo Electron, Toppan, Toyobo, Visionox; and more RELATED REPORTS Benefit from our Bundle & Annual Subscription offers and access our analyses at the best available price and with great advantages TABLE OF CONTENTS (complete content on i-micronews.com) Glossary and display fundamentals 12 Executive summary 19 Overview of TFT backplane technologies and market 55 > Active and passive matrix > TFT and OLED pixel structures > TFT current > OLED vs. LCD TFT > Specific challenges for AMOLED backplanes > TFT channel materials > Mobility vs. display specifications > TFT technologies vs. applications > TFT backplane technologies - pros and cons > TFT supply and demand > Amorphous silicon, metal oxide, LTPS and organic TFT trends Flexible displays - Overview and technical challenges 73 > Potential benefits > Display structure > Challenges for flexible displays > Technology bricks for flexible displays > Flexible display - roadmap > Commercial status > What s coming next? Flexible displays - Manufacturing status 86 Frontplanes for flexible displays 91 > Frontplane candidates for flexible displays > E-papers - electrophoretic and cholesteric LCD > Flexible LCD and OLED > Focus on Japan Display Corporation, JOLED, Sony, Sharp Substrates for flexible displays 108 > Requirements > Major flexible substrate types > Substrate materials > De-bonding > Material trends Backplanes for flexible displays 118 > Technology strategies for flexible backplanes > Amorphous silicon > Low-temperature polysilicon (LTPS) > Metal oxides > Focus on CBRITE > Solution-processed oxide > Other TFT - amorphous metal non-linear resistor (AMNR) > Printed dopant polysilicon (PDPS) > Graphene and carbon nanotubes Focus on organic TFTs 143 > Potential benefits > Organic TFT and true flexibility > Small molecules vs. polymers > Performance - hype vs. reality > TFT performance vs. application mapping > OTFT applications roadmap > OTFT for LCD displays and e-paper Organic TFT manufacturing 167 > Major OTFT technology bricks > OTFT structure > Crystallization control > Interface engineering & material choices > Patterning and compatibility with TFT fabs Organic TFT cost of ownership 179 > Key benefits > Bill of material > Cost of ownership Organic TFT volume and revenue forecast 187 > Display panel market > Applications segment SWOT Organic TFT forecast - Base scenario 193 > EPD (and other reflective/passive technologies) > Wearables > Mobile phones > Embedded displays > Tablet, laptops, and convertibles > Digital signage > Monitors and TV > OTFT adoption trends > OTFT application roadmap > Volume and price hypothesis > OSC and OGI volume and revenue forecast > Discussion - aggressive scenarios Technology breakthrough scenario #1 - Printing process 215 > Key hypothesis > Results Technology breakthrough scenario #2 - Vertical transistors 220 > Vertical OFET > Vertical organic light-emitting transistor (VOLET) Scenario comparison and conclusions 229 Non-display applications 235 > Smart tags > Thin film electronics > Sensors and imager arrays - ISORG Organic TFT supply chain 242 Selected company profiles 251 > SmartKem > Merck - EMD > BASF > Polyera > Neudrive Appendix - OLED display structure and key technologies 265 Phosphors & Quantum Dots for LED Applications: Patent Landscape 2016 Samsung Galaxy S6 teardown & physical analysis of key components Find all our reports on AUTHOR Dr Eric Virey serves as a Senior Market and Technology Analyst and has contributed to the development of sapphire & LED activities at Yole Développement (Yole), the More than Moore market research and strategy consulting company. Eric has a broad knowledge of the sapphire, solid state lighting and display industries: Since 2009, he authored multiple Yole s reports, he also contributed to multiple custom projects with Yole Finance. Before that, he s held various R&D, engineering, manufacturing and marketing positions with Fortune 500 Company Saint-Gobain in France and the United States. Those included a 5 year tenure as Market Manager in charge of Sapphire substrates and materials for optical telecoms and displays, a 3-year cross functional corporate position where he acted across multiple business units as a market and technology evangelist to identify and develop new business opportunities in solid state lighting (LED/OLEDs). Dr Eric Virey holds a Ph-D in Optoelectronics from the National Polytechnic Institute of Grenoble. Find more details about this report here:

Yole Developpement. Developpement-v2585/ Publisher Sample

Yole Developpement.  Developpement-v2585/ Publisher Sample Yole Developpement http://www.marketresearch.com/yole- Developpement-v2585/ Publisher Sample Phone: 800.298.5699 (US) or +1.240.747.3093 or +1.240.747.3093 (Int'l) Hours: Monday - Thursday: 5:30am - 6:30pm

More information

Status of Panel Level Packaging & Manufacturing

Status of Panel Level Packaging & Manufacturing From Technologies to Market SAMPLE Status of Panel Level Packaging & Manufacturing Authors: S. Kumar, A. Pizzagalli Source: Fraunhofer IZM Sample 2015 2015 ABOUT THE AUTHORS Biography & contact Santosh

More information

National Centre for Flexible Electronics

National Centre for Flexible Electronics National Centre for Flexible Electronics Tripartite Partnership Government FlexE Centre - A platform for a meaningful interaction between industry and academia. An interdisciplinary team that advances

More information

Ubiquitous Displays and Sensors Activating Surfaces with Flexible Electronics. Dean Baker, Director FlexEnable. APAC Innovation Summit 2016, Hong Kong

Ubiquitous Displays and Sensors Activating Surfaces with Flexible Electronics. Dean Baker, Director FlexEnable. APAC Innovation Summit 2016, Hong Kong Ubiquitous Displays and Sensors Activating Surfaces with Flexible Electronics Dean Baker, Director FlexEnable, Hong Kong Activating surfaces with flexible displays and sensors Flexible Plastic Displays

More information

Autoliv Night Vision System Safety Application Automotive IR Camera

Autoliv Night Vision System Safety Application Automotive IR Camera Autoliv Night Vision System Safety Application Automotive IR Camera Report by Farid HAMRANI & Sylvain HALLEREAU June 2017 21 rue la Noue Bras de Fer 44200 NANTES - FRANCE +33 2 40 18 09 16 info@systemplus.fr

More information

ORGANIC ELECTRONICS: PHOTOLITHOGRAPHY OR PRINTING. Giles Lloyd Flex Europe Conference, 25th October 2016

ORGANIC ELECTRONICS: PHOTOLITHOGRAPHY OR PRINTING. Giles Lloyd Flex Europe Conference, 25th October 2016 ORGANIC ELECTRONICS: PHOTOLITHOGRAPHY OR PRINTING Giles Lloyd Flex Europe Conference, 25th October 2016 Organic Electronics: Photoligthography or Printing? Lithography Printing Enabling flexible TFT sheet-fed

More information

Glass Substrates for Semiconductor Manufacturing

Glass Substrates for Semiconductor Manufacturing Glass Substrates for Semiconductor Manufacturing The first REPORT analyzing in detail the glass wafer for wafer level packaging and micro structuring technologies applications 2013 Content of the report

More information

GaN Power Epitaxy, Devices, Applications and Technology Trends

GaN Power Epitaxy, Devices, Applications and Technology Trends From Technologies to Market GaN Power Epitaxy, Devices, Applications and Technology Trends Sample October 2017 Biographies & contacts Dr. Ana Villamor Dr Ana Villamor serves as a Technology & Market Analyst

More information

Printed Electronics: success stories and future commercial applications

Printed Electronics: success stories and future commercial applications Printed Electronics: success stories and future commercial applications Dr Guillaume Chansin @gchansin June 2017 Helping you profit from emerging technologies Advantages of printed electronics Mass production

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Nonideal Effect The experimental characteristics of MOSFETs deviate to some degree from the ideal relations that have been theoretically derived. Semiconductor Physics and Devices Chapter 11. MOSFET: Additional

More information

Display Materials and Components Report - Glass Slimming 2013

Display Materials and Components Report - Glass Slimming 2013 Display Materials and Components Report - Glass Slimming 2013 May 2013 Doo.Kim@ihs.com www.displaybank.com 1/130 No material contained in this report may be reproduced in whole or in part without the express

More information

Accelerating Scale Up of Large Area Electronics

Accelerating Scale Up of Large Area Electronics Accelerating Scale Up of Large Area Electronics Duncan Lindsay Business Development Director, CPI 2015 Centre for Process Innovation Limited. All Rights Reserved. Who are CPI? CPI is a UK technology innovation

More information

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17

Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays. Keith Best Roger McCleary Elvino M da Silveira 5/19/17 Advanced Stepper Lithography Technology to Enable Flexible AMOLED Displays Keith Best Roger McCleary Elvino M da Silveira 5/19/17 Agenda About Rudolph JetStep G System overview and performance Display

More information

Flexible glass substrates for roll-to-roll manufacturing

Flexible glass substrates for roll-to-roll manufacturing Science & Technology Flexible glass substrates for roll-to-roll manufacturing Corning - S. Garner, G. Merz, J. Tosch, C. Chang, D. Marshall, X. Li, J. Matusick, J. Lin, C. Kuo, S. Lewis, C. Kang ITRI -

More information

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE

Plan Optik AG. Plan Optik AG PRODUCT CATALOGUE Plan Optik AG Plan Optik AG PRODUCT CATALOGUE 2 In order to service the high demand of wafers more quickly, Plan Optik provides off the shelf products in sizes from 2 up to 300mm diameter. Therefore Plan

More information

David B. Miller Vice President & General Manager September 28, 2005

David B. Miller Vice President & General Manager September 28, 2005 Electronic Technologies Business Overview David B. Miller Vice President & General Manager September 28, 2005 Forward Looking Statement During the course of this meeting we may make forward-looking statements.

More information

Consumer Physics SCiO Molecular Sensor

Consumer Physics SCiO Molecular Sensor Consumer Physics SCiO Molecular Sensor Pocket handheld spectrometer MEMS/Imaging report by Stéphane ELISABETH February 2017 21 rue la Noue Bras de Fer 44200 NANTES - FRANCE +33 2 40 18 09 16 info@systemplus.fr

More information

Lithography in our Connected World

Lithography in our Connected World Lithography in our Connected World SEMI Austin Spring Forum TOP PAN P R INTING CO., LTD MATER IAL SOLUTIONS DIVISION Toppan Printing Co., LTD A Broad-Based Global Printing Company Foundation: January 17,

More information

Printed Organic Transistors for Ultraflexible and Stretchable Electronics

Printed Organic Transistors for Ultraflexible and Stretchable Electronics 2011/6/2 20:00-22:00 Lake Buena Vista, Florida USA CPMT Seminar Printed Devices and Large Area Interconnect Technologies for New Electronics Printed Organic Transistors for Ultraflexible and Stretchable

More information

We give vision to all surfaces Plastic Electronics Dresden.

We give vision to all surfaces Plastic Electronics Dresden. We give vision to all surfaces Plastic Electronics Dresden www.isorg.fr laurent.jamet@isorg.fr 1 When Printed Electronics meet Design, Usages and Brands for eye catching and appealing products Company

More information

Photonique sur silicium: Tendances et perspectives de marché

Photonique sur silicium: Tendances et perspectives de marché From Technologies to Market Photonique sur silicium: Tendances et perspectives de marché Eric MOUNIER, YOLE DEVELOPPEMENT 2017 FIELDS OF EXPERTISE Yole Développement s 30 analysts operate in the following

More information

FOR IMMEDIATE RELEASE. Yole s analysts believe in a wide adoption of gas sensors in consumer products

FOR IMMEDIATE RELEASE. Yole s analysts believe in a wide adoption of gas sensors in consumer products FOR IMMEDIATE RELEASE Yole s analysts believe in a wide adoption of gas sensors in consumer products Gas Sensor Technology & Market report, February 2016 LYON, France February 11, 2016: The latest analysis

More information

The Future for Printed Electronics

The Future for Printed Electronics The Future for Printed Electronics Jon Helliwell National Centre for Printable Electronics 24 October, 2013 Copyright CPI 2013. All rights reserved What is Printed Electronics? Organic and printed electronics

More information

Apple iphone X IR Dot Projector

Apple iphone X IR Dot Projector Apple iphone X IR Dot Projector Dot Projector bundle including Heptagon Imaging report by Sylvain HALLEREAU December 2017 21 rue la Noue Bras de Fer 44200 NANTES - FRANCE +33 2 40 18 09 16 info@systemplus.fr

More information

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004

A Perspective on Semiconductor Equipment. R. B. Herring March 4, 2004 A Perspective on Semiconductor Equipment R. B. Herring March 4, 2004 Outline Semiconductor Industry Overview of circuit fabrication Semiconductor Equipment Industry Some equipment business strategies Product

More information

Advancing Consumer Packaging Through Printable Electronics

Advancing Consumer Packaging Through Printable Electronics IPST Executive Conference, Atlanta, GA March 9-10, 2011 Advancing Consumer Packaging Through Printable Electronics Bernard Kippelen Professor, School of Electrical and Computer Engineering Director, Center

More information

How material engineering contributes to delivering innovation in the hyper connected world

How material engineering contributes to delivering innovation in the hyper connected world How material engineering contributes to delivering innovation in the hyper connected world Paul BOUDRE, Soitec CEO Leti Innovation Days - July 2018 Grenoble, France We live in a world of data In perpetual

More information

LED Cost and Technology Trends: How to enable massive adoption in general lighting

LED Cost and Technology Trends: How to enable massive adoption in general lighting LED Cost and Technology Trends: How to enable massive adoption in general lighting SEMICON West 2011 Moscone Center, San Francisco June 13 th 2011 Lumileds Lumileds OSRAM Aixtron CREE OSRAM OKI OSRAM 45

More information

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor and LED Markets Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor & LED Investing in Semiconductor and LED $ Millions 300 200 27% CAGR 100 0 * FY06

More information

STMicroelectronics ToF Proximity Sensor & Flood Illuminator in the Apple iphone X

STMicroelectronics ToF Proximity Sensor & Flood Illuminator in the Apple iphone X STMicroelectronics ToF Proximity Sensor & Flood Illuminator in the Apple iphone X Patent-to-Product Mapping August 2018 KnowMade Patent & Technology Intelligence 2018 www.knowmade.com TABLE OF CONTENTS

More information

ams Multi-Spectral Sensor True Color ambient light sensor from Apple iphone X

ams Multi-Spectral Sensor True Color ambient light sensor from Apple iphone X ams Multi-Spectral Sensor True Color ambient light sensor from Apple iphone X IMAGING report by Stéphane ELISABETH December 2017 version 1 21 rue la Noue Bras de Fer 44200 NANTES - FRANCE +33 2 40 18 09

More information

New Pixel Circuits for Driving Organic Light Emitting Diodes Using Low-Temperature Polycrystalline Silicon Thin Film Transistors

New Pixel Circuits for Driving Organic Light Emitting Diodes Using Low-Temperature Polycrystalline Silicon Thin Film Transistors Chapter 4 New Pixel Circuits for Driving Organic Light Emitting Diodes Using Low-Temperature Polycrystalline Silicon Thin Film Transistors ---------------------------------------------------------------------------------------------------------------

More information

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

End-of-line Standard Substrates For the Characterization of organic

End-of-line Standard Substrates For the Characterization of organic FRAUNHOFER INSTITUTe FoR Photonic Microsystems IPMS End-of-line Standard Substrates For the Characterization of organic semiconductor Materials Over the last few years, organic electronics have become

More information

GSEF 2019 Advisory Board

GSEF 2019 Advisory Board GSEF 2019 Advisory Board Ralph Lauxmann, Senior Vice President Systems & Technology, Continental Automotive Hans Adlkofer, Vice President Systems Group, The Automotive Division, Infineon Technologies Hai

More information

Day One 13 March Day Two 14 March 2019

Day One 13 March Day Two 14 March 2019 GSEF 2019 Advisory Board Ralph Lauxmann, Senior Vice President Systems & Technology, Continental Automotive Hans Adlkofer, Vice President Systems Group, The Automotive Division, Infineon Technologies Hai

More information

Uncooled Infrared Imagers Technology & Market Trends 2017

Uncooled Infrared Imagers Technology & Market Trends 2017 From Technologies to Market Uncooled Infrared Imagers Technology & Market Trends 2017 Sample August 2017 TABLE OF CONTENTS Glossary, definitions, scope & report objectives 4 Executive summary 9 Introduction

More information

Organic and flexible Electronics in Saxony www.invest-in-saxony.com WElCOME Organic electronics are based on the discovery that specific organic materials possess semiconducting properties. Functional

More information

The SEMATECH Model: Potential Applications to PV

The SEMATECH Model: Potential Applications to PV Continually cited as the model for a successful industry/government consortium Accelerating the next technology revolution The SEMATECH Model: Potential Applications to PV Dr. Michael R. Polcari President

More information

The Advantages of Integrated MEMS to Enable the Internet of Moving Things

The Advantages of Integrated MEMS to Enable the Internet of Moving Things The Advantages of Integrated MEMS to Enable the Internet of Moving Things January 2018 The availability of contextual information regarding motion is transforming several consumer device applications.

More information

Single Layer Color Cholesteric Liquid Crystal Display

Single Layer Color Cholesteric Liquid Crystal Display Single Layer Color Cholesteric Liquid Crystal Display Frank Shiu jwshiu@itri.org.tw Tel:886-35915562 Display Technology Center Industrial Technology Research Institute Taiwan, R.O.C. 1 Outline Applications

More information

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative POSSUM TM Die Design as a Low Cost 3D Packaging Alternative The trend toward 3D system integration in a small form factor has accelerated even more with the introduction of smartphones and tablets. Integration

More information

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films

Hermetic Packaging Solutions using Borosilicate Glass Thin Films. Lithoglas Hermetic Packaging Solutions using Borosilicate Glass Thin Films Hermetic Packaging Solutions using Borosilicate Glass Thin Films 1 Company Profile Company founded in 2006 ISO 9001:2008 qualified since 2011 Headquarters and Production in Dresden, Germany Production

More information

Session 9.1 SID2010 May 25 th, Sep Lyu Jae Jin. Samsung Electronics

Session 9.1 SID2010 May 25 th, Sep Lyu Jae Jin. Samsung Electronics Session 9.1 SID2010 May 25 th, 2010 Sep. 18. 2010 Lyu Jae Jin Samsung Electronics Contents 2 Application of LCDs Projection Type: LCD Projector, Projection TV Direct View Type: Smart-Phone, I-Pad, N-PC,

More information

Printed Electronics - Quo Vadis? What is Printed Electronics et Quo Vadis?

Printed Electronics - Quo Vadis? What is Printed Electronics et Quo Vadis? Printed Electronics - Quo Vadis? Emil J.W. List Institute of Solid State Physics Graz University of Technology NanoTecCenter Weiz Forschungsgesellschaft mbh Agenda Introduction Motivation What is Printed

More information

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November

Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November Pierre Brondeau Vice President, Business Group Executive Electronic Materials Regional Director - Europe Lehman Brothers Conference Call November 2006 Forward Looking Statement The presentation today may

More information

Samsung LTN097QL01-A01 Display Module with LED Backlit LCD and Capacitive Touch Screen

Samsung LTN097QL01-A01 Display Module with LED Backlit LCD and Capacitive Touch Screen Samsung LTN097QL01-A01 Display Module with LED Backlit LCD and Capacitive Touch Screen From the Retina Display in the New ipad, Also Known As the ipad 3 Released by Apple in March, 2012 Custom Display

More information

Put your best ideas forward.

Put your best ideas forward. Improve the way people view your brand. High-performance optical polymers and films for the electronics market Put your best ideas forward. The world is increasingly connected by technology that uses electronic

More information

Accelerating Collective Innovation: Investing in the Innovation Landscape

Accelerating Collective Innovation: Investing in the Innovation Landscape PCB Executive Forum Accelerating Collective Innovation: Investing in the Innovation Landscape How a Major Player Uses Internal Venture Program to Accelerate Small Players with Big Ideas Dr. Joan K. Vrtis

More information

2010 IRI Annual Meeting R&D in Transition

2010 IRI Annual Meeting R&D in Transition 2010 IRI Annual Meeting R&D in Transition U.S. Semiconductor R&D in Transition Dr. Peter J. Zdebel Senior VP and CTO ON Semiconductor May 4, 2010 Some Semiconductor Industry Facts Founded in the U.S. approximately

More information

RF Front-End. Modules For Cellphones Patent Landscape Analysis. KnowMade. January Qualcomm. Skyworks. Qorvo. Qorvo

RF Front-End. Modules For Cellphones Patent Landscape Analysis. KnowMade. January Qualcomm. Skyworks. Qorvo. Qorvo RF Front-End Qualcomm Modules For Cellphones Patent Landscape Analysis Skyworks January 2018 Qorvo Qorvo KnowMade Patent & Technology Intelligence 2018 www.knowmade.com TABLE OF CONTENTS INTRODUCTION 4

More information

Flexible Electronics: Revolutionary Products and Career Opportunities for Creative Engineers

Flexible Electronics: Revolutionary Products and Career Opportunities for Creative Engineers Flexible Electronics: Revolutionary Products and Career Opportunities for Creative Engineers TAPPI - PIMA Student Summit 2011 Michael Ciesinski President January 15, 2011 Microelectronics changed the world

More information

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI

Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI Des MEMS aux NEMS : évolution des technologies et des concepts aux travers des développements menés au LETI Ph. Robert 1 Content LETI at a glance From MEMS to NEMS: 30 years of technological evolution

More information

SILICON NANOWIRE HYBRID PHOTOVOLTAICS

SILICON NANOWIRE HYBRID PHOTOVOLTAICS SILICON NANOWIRE HYBRID PHOTOVOLTAICS Erik C. Garnett, Craig Peters, Mark Brongersma, Yi Cui and Mike McGehee Stanford Univeristy, Department of Materials Science, Stanford, CA, USA ABSTRACT Silicon nanowire

More information

Sony IMX118CQT 18.5 Mp, 1.25 µm Pixel Pitch Back Illuminated CIS from the Sony DSC-WX100 Camera

Sony IMX118CQT 18.5 Mp, 1.25 µm Pixel Pitch Back Illuminated CIS from the Sony DSC-WX100 Camera 18.5 Mp, 1.25 µm Pixel Pitch Back Illuminated CIS from the Sony DSC-WX100 Camera Imager Process Review 1891 Robertson Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414 www.chipworks.com Imager

More information

EMERGING INKJET PRINTING TECHNOLOGIES, APPLICATIONS AND GLOBAL MARKETS

EMERGING INKJET PRINTING TECHNOLOGIES, APPLICATIONS AND GLOBAL MARKETS EMERGING INKJET PRINTING TECHNOLOGIES, APPLICATIONS AND GLOBAL MARKETS AVM091B November 2014 Andrew McWilliams Project Analyst ISBN: 1-56965-999-0 BCC Research 49 Walnut Park, Building 2 Wellesley, MA

More information

Markets for On-Chip and Chip-to-Chip Optical Interconnects 2015 to 2024 January 2015

Markets for On-Chip and Chip-to-Chip Optical Interconnects 2015 to 2024 January 2015 Markets for On-Chip and Chip-to-Chip Optical Interconnects 2015 to 2024 January 2015 Chapter One: Introduction Page 1 1.1 Background to this Report CIR s last report on the chip-level optical interconnect

More information

shaping global nanofuture ULTRA-PRECISE PRINTING OF NANOMATERIALS

shaping global nanofuture ULTRA-PRECISE PRINTING OF NANOMATERIALS shaping global nanofuture ULTRA-PRECISE PRINTING OF NANOMATERIALS WHO ARE WE? XTPL S.A. is a company operating in the nanotechnology segment. The interdisciplinary team of XTPL develops on a global scale

More information

Complementary Organic Semiconductor and Metal Integrated Circuits

Complementary Organic Semiconductor and Metal Integrated Circuits Complementary Organic Semiconductor and Metal Integrated Circuits COSMIC will generate an organic CMOS technology platform from design to manufacturing level. COSMIC will produce highly complex lead applications

More information

CMP for More Than Moore

CMP for More Than Moore 2009 Levitronix Conference on CMP Gerfried Zwicker Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germany gerfried.zwicker@isit.fraunhofer.de Contents Moore s Law and More Than Moore Comparison:

More information

PRESS KIT. High Accuracy Device Bonder with Robotics.

PRESS KIT. High Accuracy Device Bonder with Robotics. PRESS KIT High Accuracy Device Bonder with Robotics Press Announcement SET Introduces FC300R High Accuracy Device Bonder with Robotics FC300R: an Easy-to-Use Production Platform Ideal for High Accuracy

More information

Sony IMX145 8 Mp, 1.4 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor from the Apple iphone 4S Smartphone

Sony IMX145 8 Mp, 1.4 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor from the Apple iphone 4S Smartphone Sony IMX145 8 Mp, 1.4 µm Pixel Pitch Back Illuminated (BSI) CMOS Image Sensor from the Apple iphone 4S Smartphone Imager Process Review 3685 Richmond Road, Suite 500, Ottawa, ON K2H 5B7 Canada Tel: 613-829-0414

More information

Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products

Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products Manufacturing Development of a New Electroplated Magnetic Alloy Enabling Commercialization of PwrSoC Products Trifon Liakopoulos, Amrit Panda, Matt Wilkowski and Ashraf Lotfi PowerSoC 2012 CONTENTS Definitions

More information

Triple i - The key to your success

Triple i - The key to your success Triple i - The key to your success The needs and challenges of today s world are becoming ever more demanding. Standards are constantly rising. Creativity, reliability and high performance are basic prerequisites

More information

Property right statement: Copyright of charts, tables and sentences in this report belongs to

Property right statement: Copyright of charts, tables and sentences in this report belongs to The Vertical Portal for China Business Intelligence. Semiconductor Equipment Industry Report, 2009 Nov/2009 Property right statement: Copyright of charts, tables and sentences in this report belongs to

More information

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President Corporate Background Founded in 1987 and headquartered in Austin, Texas Recognized around the world as a leading consulting

More information

21 st Annual Needham Growth Conference

21 st Annual Needham Growth Conference 21 st Annual Needham Growth Conference Investor Presentation January 15, 2019 Safe Harbor Statement The information contained in and discussed during this presentation may include forward-looking statements

More information

DuPont Reflections on Photovoltaics

DuPont Reflections on Photovoltaics DuPont Reflections on Photovoltaics Steven C. Freilich Technology Director E. I. du Pont de Nemours and Co. CTO Electronics and Communication April 23, 2009 The Vision of DuPont 2 To be the world s most

More information

Accelerating Growth and Cost Reduction in the PV Industry

Accelerating Growth and Cost Reduction in the PV Industry Accelerating Growth and Cost Reduction in the PV Industry PV Technology Roadmaps and Industry Standards An Association s Approach Bettina Weiss / SEMI PV Group July 29, 2009 SEMI : The Global Association

More information

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Scott Goodwin 1, Erik Vick 2 and Dorota Temple 2 1 Micross Advanced Interconnect Technology Micross

More information

From Technologies to Market. Laser Technologies for. Semiconductor. Manufacturing. Sample. October 2017

From Technologies to Market. Laser Technologies for. Semiconductor. Manufacturing. Sample. October 2017 From Technologies to Market Laser Technologies for Semiconductor Manufacturing Sample October 2017 REPORT OBJECTIVES This report is the first research performed on the laser technologies in the field of

More information

Image Sensor Advanced Package Solution. Prepared by : JL Huang & KingPak RD division

Image Sensor Advanced Package Solution. Prepared by : JL Huang & KingPak RD division Image Sensor Advanced Package Solution Prepared by : JL Huang & KingPak RD division Contents CMOS image sensor marketing overview Comparison between different type of CMOS image sensor package Overview

More information

IMI Labs Semiconductor Applications. June 20, 2016

IMI Labs Semiconductor Applications. June 20, 2016 IMI Labs Semiconductor Applications June 20, 2016 Materials Are At the Core of Innovation in the 21st Century Weight Space Flexibility Heat Management Lightweight Energy Efficient Temperature Energy Efficient

More information

Analysis of Wet Coating Thickness Effect on Transparent Conductive Electrode Performance using Silver Nanowire

Analysis of Wet Coating Thickness Effect on Transparent Conductive Electrode Performance using Silver Nanowire Analysis of Wet Coating Thickness Effect on Transparent Conductive Electrode Performance using Silver Nanowire 2017. 04. 25 Seung-Hyun Lee, PhD Senior Researcher Dept. Printed Electronics Korea Institute

More information

Top 50 Emerging Technologies & Growth Opportunities

Top 50 Emerging Technologies & Growth Opportunities Top 50 Emerging Technologies & Growth Opportunities Multi-billion Dollar Technologies Ready to Energize Industries and Transform our World THE VALUE PROPOSITION TechVision s annual Top 50 Emerging Technologies

More information

Emerging Print Technologies & Applications

Emerging Print Technologies & Applications Emerging Print Technologies & Applications By: Pivotal Resources USA, Inc. 2901 Richmond Road #353 Lexington, KY 40509 (859) 230-0093 2013 PRIMIR/NPES EMERGING PRINT TECHNOLOGIES & APPLICATIONS TABLE OF

More information

Power Matters Microsemi SiC Products

Power Matters Microsemi SiC Products Microsemi SiC Products James Kerr Director of Marketing Power Discrete Products Microsemi Power Products MOSFETs (100V-1200V) Highest Performance SiC MOSFETs 1200V MOSFETs FREDFETs (MOSFET with fast body

More information

Low Temperature Integration of Thin Films and Devices for Flexible and Stretchable Electronics

Low Temperature Integration of Thin Films and Devices for Flexible and Stretchable Electronics Low Temperature Integration of Thin Films and Devices for Flexible and Stretchable Electronics Pooran Joshi, Stephen Killough, and Teja Kuruganti Oak Ridge National Laboratory FIIW 2015 Displays and PV

More information

HTC Vive VR (Model 0PJT100) Virtual Reality Headset

HTC Vive VR (Model 0PJT100) Virtual Reality Headset HTC Vive VR (Model 0PJT100) Virtual Reality Headset System report by Wilfried THERON February 2017 21 rue la Noue Bras de Fer 44200 NANTES - FRANCE +33 2 40 18 09 16 info@systemplus.fr www.systemplus.fr

More information

Image sensor combining the best of different worlds

Image sensor combining the best of different worlds Image sensors and vision systems Image sensor combining the best of different worlds First multispectral time-delay-and-integration (TDI) image sensor based on CCD-in-CMOS technology. Introduction Jonathan

More information

Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor

Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor Nano-crystalline Oxide Semiconductor Materials for Semiconductor and Display Technology Sanghun Jeon Ph.D. Associate Professor Department of Applied Physics Korea University Personnel Profile (Affiliation

More information

Guidelines to Promote National Integrated Circuit Industry Development : Unofficial Translation

Guidelines to Promote National Integrated Circuit Industry Development : Unofficial Translation Guidelines to Promote National Integrated Circuit Industry Development : Unofficial Translation Ministry of Industry and Information Technology National Development and Reform Commission Ministry of Finance

More information

MEMS in ECE at CMU. Gary K. Fedder

MEMS in ECE at CMU. Gary K. Fedder MEMS in ECE at CMU Gary K. Fedder Department of Electrical and Computer Engineering and The Robotics Institute Carnegie Mellon University Pittsburgh, PA 15213-3890 fedder@ece.cmu.edu http://www.ece.cmu.edu/~mems

More information

Fan-Out Wafer Level Packaging Patent Landscape Analysis

Fan-Out Wafer Level Packaging Patent Landscape Analysis Fan-Out Wafer Level Packaging Patent Landscape Analysis Source: Infineon Source: TSMC Source: ASE November 2016 Source: Deca Technologies Source: STATS ChipPAC Source: Nepes KnowMade Patent & Technology

More information

Heterogeneous integration of autonomous smart films based on electrochromic transistors

Heterogeneous integration of autonomous smart films based on electrochromic transistors of autonomous smart films NEWSLETTER #5 www.smartwww.smart-ec.eu Objectives SMART-EC has finalized last August 2014; it aimed at the development of self-powered electrochromic (EC) display device with

More information

Diverse Lasers Support Key Microelectronic Packaging Tasks

Diverse Lasers Support Key Microelectronic Packaging Tasks Diverse Lasers Support Key Microelectronic Packaging Tasks Written by D Muller, R Patzel, G Oulundsen, H Halou, E Rea 23 July 2018 To support more sophisticated and compact tablets, phones, watches and

More information

Design of an Integrated OLED Driver for a Modular Large-Area Lighting System

Design of an Integrated OLED Driver for a Modular Large-Area Lighting System Design of an Integrated OLED Driver for a Modular Large-Area Lighting System JAN DOUTRELOIGNE, ANN MONTÉ, JINDRICH WINDELS Center for Microsystems Technology (CMST) Ghent University IMEC Technologiepark

More information

Technology & Manufacturing

Technology & Manufacturing Technology & Manufacturing Jean-Marc Chery Chief Operating Officer Front-End Manufacturing Unique capability 2 Technology portfolio aligned with application focus areas Flexible IDM model with foundry

More information

CTS Corporate Profile. CTS Today. Your Partner in Smart Solutions. Introduction

CTS Corporate Profile. CTS Today. Your Partner in Smart Solutions. Introduction Your Partner in Smart Solutions CTS Corporate Profile Introduction CTS Corporation (NYSE: CTS), founded in 1896 as Chicago Telephone Supply Company, is a global leader in the design and manufacturing of

More information

A European Perspective for Electronic Industry in Latin America

A European Perspective for Electronic Industry in Latin America A European Perspective for Electronic Industry in Latin America François Guibert Corporate Vice President, Emerging Markets Region General Manager Electronic, a Global World Security Networking Consumer

More information

Application-Based Opportunities for Reused Fab Lines

Application-Based Opportunities for Reused Fab Lines Application-Based Opportunities for Reused Fab Lines Semicon China, March 17 th 2010 Keith Best Simax Lithography S I M A X A L L I A N C E P A R T N E R S Outline Market: Exciting More than Moore applications

More information

Context Development Details Anticipated Effects

Context Development Details Anticipated Effects Dec 27, 2017 Tanaka Precious Metals/Tanaka Holdings Co., Ltd Japan Science and Technology Agency (JST). A Bendable Touch Panel Achieved with Silver Nano Ink Printing Technology (A Result of NexTEP: Joint

More information

Work package 4: Towards a virtual foundry

Work package 4: Towards a virtual foundry D4.5 WP4 September 2014 COLAE: Commercialization Clusters of OLAE Work package 4: Towards a virtual foundry Public Final Report COLAE 2013 Project name: Commercialization Clusters of OLAE Acronym: COLAE

More information

Low-Cost Far-Infrared FPA based on High-Volume Pressure Sensor Process

Low-Cost Far-Infrared FPA based on High-Volume Pressure Sensor Process Low-Cost Far-Infrared FPA based on High-Volume Pressure Sensor Process Michael Krueger 1, Ingo Herrmann 1 Robert Bosch GmbH - Automotive Electronics, Tuebinger Str. 13, D-776 Reutlingen, Germany, michael.krueger@de.bosch.com

More information

Thermal Management in the 3D-SiP World of the Future

Thermal Management in the 3D-SiP World of the Future Thermal Management in the 3D-SiP World of the Future Presented by W. R. Bottoms March 181 th, 2013 Smaller, More Powerful Portable Devices Are Driving Up Power Density Power (both power delivery and power

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

PILOT LINE FOR LARGE-AREA PRINTING OF ELECTRONIC AND PHOTONIC DEVICES. Simon Perraud, Ph.D. Vice president for European affairs

PILOT LINE FOR LARGE-AREA PRINTING OF ELECTRONIC AND PHOTONIC DEVICES. Simon Perraud, Ph.D. Vice president for European affairs PILOT LINE FOR LARGE-AREA PRINTING OF ELECTRONIC AND PHOTONIC DEVICES Simon Perraud, Ph.D. Vice president for European affairs ABOUT LITEN Liten is the research institute of CEA devoted to clean energy

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

The 3D silicon leader. March 2012

The 3D silicon leader. March 2012 The 3D silicon leader March 2012 IPDiA overview Company located in Caen, Normandy, France Dedicated to manufacturing of integrated passive devices Employing 100 people and operating own wafer fab Strong

More information

CREE POWER PRODUCTS 2012 REVOLUTIONIZING POWER ELECTRONICS WITH SILICON CARBIDE

CREE POWER PRODUCTS 2012 REVOLUTIONIZING POWER ELECTRONICS WITH SILICON CARBIDE CREE POWER PRODUCTS 2012 REVOLUTIONIZING POWER ELECTRONICS WITH SILICON CARBIDE Cree, the silicon carbide expert, is leading the power semiconductor revolution. Cree, an innovator of semiconductors for

More information