DesignCon A Tale of Long Tails. Dai Fen, Huawei Mike Harwood, HSZ Consulting, Ltd.

Size: px
Start display at page:

Download "DesignCon A Tale of Long Tails. Dai Fen, Huawei Mike Harwood, HSZ Consulting, Ltd."

Transcription

1 DesignCon 2010 A Tale of Long Tails Dai Fen, Huawei daifen@huawei.com Mike Harwood, HSZ Consulting, Ltd. mike@hszconsulting.com Huang Chunxing, Huawei huangchunxing@huawei.com Mike Steinberger, SiSoft msteinb@sisoft.com

2 Abstract Serial channels over a lossy cable path can have a much higher bit error rate than channels which use the same pin electronics over a PC board path with approximately the same loss. The problem is that the internal impedance of the cable conductors causes the impulse response of the channel to decay very slowly, resulting in a long tail effect. This paper presents system measurements illustrating the long tail effect, a review of the physics involved, a description of five different methods for compensating for the long tail effect, and a performance analysis of these solutions. Authors Biographies DAI FEN joined Huawei Technologies in She is responsible for high-speed Backplane design. Her most current activities is focusing on rack to rack interconnection design. She received her Bachelor degree in Instrumentation and Optoelectronics Engineering from Hefei University of Technology in MIKE HARWOOD is a Consulting Engineer at HSZ Consulting and a visiting research fellow at Oxford Brookes University. Before co-founding HSZ Consulting, Mike Harwood was the main technical architect of TI's latest, highest speed and technically challenging SerDes - the last one being a 12.5Gb/s long-reach SerDes on a 65nm process. He has worked on over fifteen successful mixed-signal designs on CMOS processes with process geometries from 3um to 65nm. He was elected a Distinguished Member of Technical Staff at TI in Mike graduated in Physics from Trinity College, Oxford. HUANG CHUNXING joined Huawei Technologies in He is responsible for highspeed end-to-end interconnection simulation and measurement techniques within Highspeed Interconnect Research Group. His background includes realizing high-speed serial link Stateye simulation flow in ADS. His most current activities is focusing on next generation long reach serial link research work. He received his Master degree in communications and info. system from Nanjing University of Science&Technology in MIKE STEINBERGER is currently responsible for leading the development of SiSoft's serial link analysis products. He has over 30 years experience in the design and analysis of very high speed electronic circuits. Prior to joining SiSoft, Dr. Steinberger worked at Cray Inc., where he designed very high density interconnects and increased the data rate and path lengths to the state of the art. Mike holds a B.S. from the California Institute of Technology and a Ph.D. from the University of Southern California, and has been awarded 13 U.S. patents. A Tale of Long Tails SiSoft, 2010 Page 2

3 System Impact With the increasing demand for switch capacity, it is quite normal that two or more equipment enclosures (or chassis) are linked together to achieve much higher switch capacity. Chassis to chassis interconnects are becoming an important part of a signal integrity engineer s responsibilities, in addition to the more typical card to card interconnects. As a first estimate, SI engineers determine whether a high speed serial link is feasible by comparing the channel loss (db) at half the data rate to the loss compensation ability of a SerDes. This method may not be reliable, however, if SerDes performance determined for card to card interconnects is applied to chassis to chassis interconnects. Link performance was measured for three different configurations: Card to Card Interconnect: 16inch N inch FR4 + 2 ZD Connectors, as shown in Figure 1 Chassis to Chassis Interconnect: 10m QSFP Passive Cable (24AWG) + 10inch N as shown in Figure 2; Cable-only: 10m QSFP Passive Cable (24AWG) as in Figure 2, but without the card interconnect. The same SerDes was used in all three configurations, and 50mV of interference was injected to simulate the effects of crosstalk. Figure 1: Card to Card Interconnect Case A Tale of Long Tails SiSoft, 2010 Page 3

4 Figure 2: Chassis to Chassis Interconnect Case The differential insertion loss and return loss of the channels is shown in Figure 3. The differential insertion loss is on the left hand side and the differential return loss is on the right hand side. The red curves are for the backplane interconnect channel and the blue curves are for the chassis to chassis interconnect channel. The backplane channel insertion loss is 18.6dB and chassis to chassis cable channel insertion loss is 19.9dB for a data rate of 6.0 Gb/s. Figure 3: Insertion/Return Loss of Two Channels The results for all three configurations are shown in Table 1. For the backplane channel, all BER measurements met the design requirements whereas none of chassis to chassis interconnect measurements passed. It is clear that when a SerDes mainly designed for backplane applications is used in a chassis to chassis cable application, its performance is severely degraded. A Tale of Long Tails SiSoft, 2010 Page 4

5 Testing Result with 50mv Interference 6.25Gbps PRBS7 6.25Gbps PRBS31 7.5Gbps PRBS7 Card to Card Pass Pass Pass Interconnect Chassis to Chassis Fail Fail Fail Interconnect 10m QSFP cable(- Pass Fail Fail Table 1. Testing Results of Two Channels Note the degradation in performance when using patterns with long run length. This performance degradation has been observed in other SerDes testing. Two questions may be raised here: what caused this performance degradation and how could we work it out to make 10m QSFP Passive Cable (24AWG) + 10inch N application realizable? Physics The difference between a PC board path and a cable path lies in the primary loss mechanisms for the respective paths. Whereas for frequencies above about 1 GHz, the primary loss mechanism in a PC board is almost always dielectric loss, the primary loss mechanism in a cable is almost entirely conduction loss. In other words, PC board dielectrics almost always have a much higher dielectric loss tangent than the dielectrics used in cables. This difference would imply that whereas the loss of a PC board trace should be more or less linear with frequency, the loss of a cable should vary as the square root of the frequency; and this observation is consistent with the data in Figure 1. The difference between a linear loss curve and a square root loss curve is not, however, sufficient to explain the observed difference in path performance. The difference in performance is due to the internal impedance of the signal conductors. As explained in [1, section 4.5], current penetrates conductors at low frequency, and this penetration results not only in skin effect resistance, but in an additional inductance due to the magnetic fields inside the conductor. This so-called internal impedance causes the group delay of the transmission line to increase significantly at very low frequencies. In copper, at frequencies above about 100 khz, the skin resistance per unit length and the internal inductive reactance per unit length are essentially equal. That is, A Tale of Long Tails SiSoft, 2010 Page 5

6 The internal conductance can be explicitly calculated for many different conductor geometries by considering the proportion of current flowing within the bulk of the conductor. This gives rise to an internal magnetic field where and I is the current flowing within the geometry defined by the line integral. Integrating the magnetic field to calculate the inductance often becomes problematic, but [1, section 2.17] outlines a simpler method using energy methods. Alternatively, Maxwell's equations [1, section 3.17] establish that the internal impedance and internal resistance must necessarily be equal. Since the internal resistance can be accurately approximated, the internal inductance as a function of frequency can be similarly approximated. For a co-axial cable the internal inductance can easily contribute 15% towards the total lowfrequency inductance and results in a significant increase in low-frequency group delay. [1, section 4.5] also gives the equations which demonstrate that at low frequencies, the resistance converges to its DC value and the inductance goes to zero. [2] provides a useful approximation for the transition between the low frequency and high frequency approximations. Define the internal impedance per unit length as Then given the DC resistance r dc per unit length, the internal impedance per unit length is approximately While the above equations are all that s required to include the internal impedance in a transmission line model, they don t give a great deal of insight into the physical principles that cause the skin resistance and the internal inductive reactance to be approximately equal. [3] provides another point of view. In [3], the skin depth of a conductor is derived as a corollary to the calculation of the dielectric constant of an insulator. In an insulator, the dielectric constant is caused by resonant modes of electrons bound to molecules. Each such mode has a resonant frequency and a quality factor or Q. The combined interaction with these modes is sufficient to explain both the dielectric loss tangent and the frequency dependence of the dielectric constant. This model of the physics of a dielectric is also used in a widely referenced paper on lossy dielectrics [4]. When in [3] the treatment is applied to a good conductor, the only change is that the conduction electrons are not bound to any one molecule. Therefore, there is only one resonant mode that s important, and its resonant frequency is zero. The Q of this mode can only be zero, and so the loss tangent of the conductor is 1.0 and the real and A Tale of Long Tails SiSoft, 2010 Page 6

7 imaginary parts of the conductor s dielectric constant are equal. The imaginary part of this dielectric constant causes the skin resistance and the real part causes the internal reactance. Baseline Results Using SiSoft Quantum Channel Designer high speed serial channel simulator, the equations in [1] through [4] were applied to transmission line models with lengths equal to those depicted in Figures 1 and 2 in such a way as to reproduce the transmission loss shown in Figure 3. Connectors and other discontinuities were not included, and so the conductor dimensions were probably a little smaller and the dielectric loss tangent a little larger than those in the actual system. The resulting transmission loss is shown in Figure 4. Figure 4: Idealized transmission path losses Figure 5 compares the impulse responses of the two transmission paths. In this figure, the impulse response of the chassis to chassis (to be referred to as cable ) transmission path was then shifted in time so that the peak of its impulse response lines up with the peak of the impulse response from the card to card (to be referred to as PCB ) transmission path. A Tale of Long Tails SiSoft, 2010 Page 7

8 Figure 5: Transmission path impulse responses In Figure 5, the impulse response for the cable path is narrower than that of the PCB path, and so one would suppose that the cable path would provide higher performance than the PCB path. The expanded view in Figure 6, however, shows that the tail of the cable path s impulse response has a greater amplitude of the that for the PCB path. It is actually the cumulative effect of this tail over many bit times that is the dominant effect. This is the origin of the term long tail effect. Figure 6: Transmission path impulse response tails One way to demonstrate the long tail effect is to stimulate the path with data with a long run length. In Figures 7 and 8, a data pattern consisting of a repeating sequence of seven ones and one zero is followed by a repeating sequence of seven zeros and a single one. Figure 7 is measured data and Figure 8 is a simulation for the cable and PCB paths. A Tale of Long Tails SiSoft, 2010 Page 8

9 Figure 7: Measured long run length data pattern Figure 8: Simulated long run length data pattern for the cable and PCB paths Because of the long tail effect, a long run of identical bits will cause the voltage at the end of the path to drift toward its DC value. When a single bit of the opposite value is inserted into the bit stream, this bit will have the same amplitude as any other isolated bit, but it will be starting from a baseline which is further away from the decision threshold, making it more difficult for the signal to cross the decision threshold and cause the bit to be detected correctly. Because of this behavior, this phenomenon was known for many years at Cray Research as the lonely pulse effect. A Tale of Long Tails SiSoft, 2010 Page 9

10 To determine whether the long tail effect could explain the system level results, statistical and time domain simulations were run using transmit de-emphasis tap settings of (0.7, , ). Figure 9 is a comparison of the equalized pulse responses. Figure 9: Equalized pulse responses for the cable and PCB paths From Figure 9, it is clear that while the equalization is enough for the PCB path, it is not quite enough for the cable path. Time domain simulations were run with two different PRBS patterns: PRBS7 and PRBS22. While a simulation with the complete PRBS31 pattern would be desirable, the PRBS22 pattern was chosen as having a run length that is enough longer than the PRBS7 pattern to illustrate the effect of long run lengths while requiring only a reasonable simulation execution time. Figure 10 shows the persistent eyes for the PRBS7 pattern and Figure 11 shows the persistent eyes for the PRBS22 pattern. Figure 12 shows the statistical eyes for the two cases. This represents a uniform sampling of the intersymbol interference population over all possible messages of length 256. Figure 10: Eye patterns for PRBS7 data pattern. PCB path (left), Cable path (right) A Tale of Long Tails SiSoft, 2010 Page 10

11 Figure 11: Eye patterns for PRBS22 data pattern. PCB path (left), Cable path (right) Figure 12: Statistical eyes. PCB path (left), Cable path (right) As a baseline measurement, the eye heights and eye widths in Figures are summarized in Table 2. Eye Height (V) Eye Width (ps) PCB path Cable path PCB path Cable path PRBS PRBS Statistical Table 2: Eye heights and eye widths for baseline case The simulated results in Table 2 are in at least qualitative agreement with the measured system results in Table 1. Solutions There are a number of ways to compensate for the long tail effect. Some are based on the nature of the impulse response as described above, some are based on the equivalent observation that the loss decreases rapidly at low frequencies, and one is based directly on the physical source of the phenomenon. In the following sections, the performance of each solution will be characterized by the eye diagram for both the PCB and cable paths, for a PRBS22 pattern. The eye heights and eye widths are summarized in Table 3 at the end of the paper. 8B10B Encoding There are some forms of data encoding, notably 8B10B encoding [5], that truly limit the run length of the data. Data encoded in this way avoids the long tail effect since there are in fact no long runs to excite the low frequency behavior in the transmission path. A Tale of Long Tails SiSoft, 2010 Page 11

12 Figure 13: PRBS22 eye diagrams with 8B10B encoding. PCB path (left), Cable path (right). This solution has the advantage that it is already implemented on a number of transmission paths as a way to enable AC coupling. Its primary disadvantage is that it reduces data throughput by 20%. Transmit Over-Equalization Most systems include a form of transmit equalization that some call de-emphasis. That is, the transmitter implements a synchronously spaced tapped delay line, with the net result that the spectral content at frequencies below one half of the bit rate is reduced, or in other words de-emphasized. If the de-emphasis is increased past the level needed to equalize the channel, then in particular the spectral content at the lowest frequencies will be reduced the most. If a receive equalizer such as a decision feedback equalizer (DFE) is then used to compensate for the general level of over-equalization, the frequency response at the lowest frequencies can be de-emphasized enough to compensate for the long tail effect. One way to understand this solution is to note that the long tail on the channel impulse response resembles an exponential decay, and that as seen at the receiver pad, what the transmit post cursor taps do is to subtract a delayed, attenuated version of the channel impulse response from the channel impulse response due to the main tap. If the ratio of the magnitude of the first post cursor tap to the magnitude of the main tap is equal to the exponential decay that occurs in the long tail over the course of one bit time, then the contribution of the first post cursor tap will cancel out the long tail. This effect is most easily seen in the step response, since the step response puts more emphasis on the low frequency response. Figure 14 compares the step response at the receiver pad for the cable path with and without (0.6, -0.4) transmit equalization. Note that in the equalized response, the long tail effect has been essentially canceled out, leaving an over-equalized response in the first post cursor tap position. A Tale of Long Tails SiSoft, 2010 Page 12

13 Figure 14: Cable path step response with and without transmit over-equalization To evaluate this solution, the transmit de-emphasis was increased from (0.7, , ) to (0.6, -0.4, -0.0) and DFE was enabled at the receiver. The resulting eye diagrams are shown in Figure 15. Figure 15: PRBS22 eye diagrams with transmit over-equalization and DFE. PCB path (left), Cable path (right). While this solution is readily available, it comes at a cost. Consider that de-emphasis has the general effect of reducing the signal amplitude delivered to the receiver. Since receivers have limited gain, this reduction in signal amplitude represents an impairment in itself. The power dissipation and complexity of the DFE must also be considered as part of the cost of the solution. Self-Equalizing Cable There is commercially available cable which uses a silver plated steel center conductor rather than the more typical silver plated copper. This cable has the characteristic that the current is constrained to flow in the silver plating and there is negligible current flow in the steel core. At frequencies for which the silver plating is less than one skin depth thick, the internal impedance of the conductor is therefore essentially constant. This structure was originally designed to create a cable which has constant loss as a function of frequency; however, it also has the effect of creating a cable which does not exhibit the long tail effect. A Tale of Long Tails SiSoft, 2010 Page 13

14 The exact plating thickness for these cables is not published; however, calculations using a plating thickness of 3um (120 micro-inches) and a center conductor diameter of 0.01 inches seems to reproduce the published characteristics of the cable. Figure 16 compares the transmission loss of the cable path without and with self-equalizing cable. Figure 16: Cable path transmission loss without (RED) and with (BLUE) self equalizing cable Figure 17 shows the impulse responses for the cable path without and with selfequalizing cable. From this Figure, the reduction in the long tail effect is readily apparent. Figure 17: Cable path impulse response without (RED) and with (BLUE) self equalizing cable With the self equalizing cable, somewhat less equalization is required in the transmitter, with the optimum transmit equalization being approximately (0.725, -0.25, ). This A Tale of Long Tails SiSoft, 2010 Page 14

15 equalization was applied to both the PCB path (which has no cable at all, self-equalizing or otherwise) and the cable path with self-equalizing cable. The resulting eye diagrams are shown in Figure 18. Figure 18: PRBS22 eye diagrams with self-equalizing cable. PCB path (left), Cable path (right) The advantages of this solution are that it does automatically and effectively eliminate the long tail effect for any cable length and is readily available commercially. The disadvantages are that the cable media is more expensive, cable assembly is more difficult/expensive, and the cable is stiffer than conventional cable and therefore more difficult to route in a chassis. It should also be noted that the higher DC resistance results in lower signal amplitude at lower frequencies, and could also affect the DC operating point for CML type electrical interfaces. Passive Network In the demonstration of the lonely pulse effect in Figure 8, it appears as though the signal baseline has an exponentially rising shape for a long string of ones and an exponentially falling shape for a long string of zeros. One way to look at long tail compensation, therefore, is to apply an exponentially falling shape for a long string of ones and vice versa for a long string of zeros. AC coupling exhibits exactly such a response, and therefore if the AC coupling time constant were carefully chosen, that might reduce the long tail effect. The idea of AC coupling also makes sense when viewed in the frequency domain. It is clear from Figure 4 that the loss changes much more rapidly at lower frequencies than it does at higher frequencies, and so maybe the response would be better behaved if it changed at a more uniform rate. This line of thinking suggests that maybe some additional loss should be inserted at low frequencies and DC, but that maybe that loss should not be as extreme as would be introduced by AC coupling. Perhaps a series resistor could be used to increase the loss at low frequencies, but then an AC coupling capacitor in parallel with it could remove the resistor from the circuit at higher frequencies. In 2007, Cray Inc, filed a patent application on the passive network approach [6]. The passive network used is very simple consisting, in its most basic form, of a twocomponent RC high-pass filter. It was incorporated into a SerDes used in a Cray Inc. supercomputer; and the resulting performance was acceptable for a wide range of cable A Tale of Long Tails SiSoft, 2010 Page 15

16 lengths. It could also be easily incorporated into a PC board design, so it could be used with existing ICs. Figure 19 is the schematic for the PCB path with passive equalization. The network values were chosen to optimize the performance for the cable path; however the same element values were used for the PCB path to show how the performance might vary for a range of paths. Figure 19: Passive lonely pulse compensation network Figure 20 is an expanded view of the way the passive equalizer network modifies the path transfer function. From Figure 20, it would appear that the equalization for the cable path is probably about right, but the PCB path may be over-equalized. A Tale of Long Tails SiSoft, 2010 Page 16

17 Figure 20: Path loss without and with passive equalization network RED: PCB path baseline PURPLE: PCB path with equalization BLUE: Cable path baseline BLACK: Cable path with equalization The degree of compensation is easier to determine by looking at the impulse responses of the paths. Figure 21 shows the impulse response without and with the passive equalization network for the PCB path, while Figure 22 shows the same information for the cable path. Figure 21: PCB path impulse response without and with passive equalization network. RED: Baseline PURPLE: With equalization A Tale of Long Tails SiSoft, 2010 Page 17

18 Figure 22: Cable path impulse response without and with passive equalization network BLUE: Baseline BLACK: With equalization From these impulse responses, it appears that the PCB path might be slightly overequalized, but that the cable path might benefit from a little more equalization. In either case, however, it s clear that the long tail effect has been substantially reduced. Both the PCB path and the cable path were simulated with the same (0.725, -0.25, ) transmit equalization as was used for the self-equalized cable. The resulting eye diagrams are shown in Figure 23. Figure 23: PRBS22 eye diagrams with fixed compensation network. PDB path (left), Cable path (right). The advantages of the passive network are that it is simple, inexpensive, and effective. Furthermore, it can be applied to PCB as well as cable paths. While this network will typically be built using fixed component values, a single set of values seems to offer useful compensation over a wide range of cable lengths. The compensation with fixed component values is only optimal for one cable length, however. In addition, the parasitics of the equalization network must be considered. If the solution is integrated within a SerDes, the signal amplitude is reduced by capacitive division; and the capacitor s bottom-plate adds a parasitic pole to the receiver s input frequencyresponse. Neither of these consequences is desirable. Similarly, if the network is mounted A Tale of Long Tails SiSoft, 2010 Page 18

19 on a PC board, the vias to and from the network should be designed carefully to avoid transmission line discontinuities. Finally, as with the self-equalizing cable, the series resistance of the equalization network will affect the DC operating point of CML type interfaces. Active Compensation Other compensation techniques are available from commercial suppliers, and have been shipped in products. Details on these techniques could not, however, be shared at the time this paper was written. Performance Summary Table 3 summarizes the performance for the various solutions described above. Eye Height (V) Eye Width (ps) PCB path Cable path PCB path Cable path Baseline B10B Encoding Transmit Over-equalize Self-equalizing Cable NA NA 102 Passive Equalization Table 3: Performance summary for long tail compensation techniques and PRBS22 data sequence Conclusions This paper has reported that the low frequency characteristics of a transmission path can have a substantial effect on the system level performance of high speed serial channels. In particular, it has demonstrated that not only the skin effect resistance, but the internal inductance of the signal conductors causes the path impulse response to have an exponential decay with a relatively long time constant, a so-called long tail or lonely pulse effect. Four solutions to this problem have been described in detail and a fifth one alluded to. Table 4 summarizes the advantages and disadvantages of each approach A Tale of Long Tails SiSoft, 2010 Page 19

20 Advantages Disadvantages 8B10B Encoding Already used in many 20% loss of data throughput channels. Transmit Over-equalize Readily available Requires DFE Reduced transmit amplitude Self-equalizing Cable Automatically compensates all cable lengths. Helps equalization overall. Commercially available Expensive Cable only Mechanical challenge DC resistance may affect Passive Equalization Inexpensive Use on PCB or silicon operating point. Fixed element values Passive parasitics DC resistance may affect operating point. Table 4: Advantages and disadvantages of long tail compensation techniques The results presented have also consistently demonstrated that, for equivalent overall loss characteristics, the long tail effect makes it more difficult to obtain good performance from a cable path than from a PC board path, Thus, the long tail effect must be considered more carefully when designing high speed serial channels over long cables. References [1] Ramo, Whinnery and Van Duzer, Fields and Waves in Communication Electronics, third edition, John Wiley and Sons, Inc, copyright [2] Howard Johnson and Martin Graham, High-Speed Signal Propagation, Advanced Black Magic, Prentice Hall, pg 71-2, copyright [3] Feynman, Leighton and Sands, The Feynman Lectures on Physics, vol II, ch. 32, Addison Wesley, copyright [4] Djordjevic, Biljic, Likar-Smiljanic and Sarkar, Wideband Frequency-Domain Characterization of FR-4 and Time-Domain Causality, IEEE Transactions on Electromagnetic Compatibility, Vol. 43, No. 4, pg , November [5] Al X. Widmer, Peter A Franaszek, A DC Balanced, Partitioned-Block, 8B10B Transmission Code, IBM Journal of Research and Development 27 (5):440, [6] Michael Steinberger, Ricky Hakes, Chris White, Lonely Pulse Compensation, U.S. patent application , September 24, A Tale of Long Tails SiSoft, 2010 Page 20

A Simple Via Experiment

A Simple Via Experiment As presented at DesignCon 2009 Co-authored by: Michael Steinberger, Signal Integrity Software, Inc. msteinb@sisoft.com Chong Ding, Cisco Systems, Inc. choding@cisco.com Divya Gopinath, Cisco Systems, Inc.

More information

VLSI is scaling faster than number of interface pins

VLSI is scaling faster than number of interface pins High Speed Digital Signals Why Study High Speed Digital Signals Speeds of processors and signaling Doubled with last few years Already at 1-3 GHz microprocessors Early stages of terahertz Higher speeds

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

Where Did My Signal Go?

Where Did My Signal Go? Where Did My Signal Go? A Discussion of Signal Loss Between the ATE and UUT Tushar Gohel Mil/Aero STG Teradyne, Inc. North Reading, MA, USA Tushar.gohel@teradyne.com Abstract Automatic Test Equipment (ATE)

More information

EE290C Spring Lecture 2: High-Speed Link Overview and Environment. Elad Alon Dept. of EECS

EE290C Spring Lecture 2: High-Speed Link Overview and Environment. Elad Alon Dept. of EECS EE290C Spring 2011 Lecture 2: High-Speed Link Overview and Environment Elad Alon Dept. of EECS Most Basic Link Keep in mind that your goal is to receive the same bits that were sent EE290C Lecture 2 2

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

OIF CEI 6G LR OVERVIEW

OIF CEI 6G LR OVERVIEW OIF CEI 6G LR OVERVIEW Graeme Boyd, Yuriy Greshishchev T10 SAS-2 WG meeting, Houston, 25-26 May 2005 www.pmc-sierra.com 1 Outline! Why CEI-6G LR is of Interest to SAS-2?! CEI-6G- LR Specification Methodology!

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

MICTOR. High-Speed Stacking Connector

MICTOR. High-Speed Stacking Connector MICTOR High-Speed Stacking Connector Electrical Performance Report for the 0.260" (6.6-mm) Stack Height Connector.......... Connector With Typical Footprint................... Connector in a System Report

More information

DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height. REVISION DATE: January 11, 2005

DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height. REVISION DATE: January 11, 2005 Application Note DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height REVISION DATE: January 11, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed

More information

Z-Dok High-Performance Docking Connector

Z-Dok High-Performance Docking Connector Z-Dok High-Performance Docking Connector Electrical Performance Report... Connector With Typical Footprint... Connector in a System Report #22GC007, Revision A May 2002 2002 Tyco Electronics, Inc., Harrisburg,

More information

Eye Diagrams. EE290C Spring Most Basic Link BER. What About That Wire. Why Wouldn t You Get What You Sent?

Eye Diagrams. EE290C Spring Most Basic Link BER. What About That Wire. Why Wouldn t You Get What You Sent? EE29C Spring 2 Lecture 2: High-Speed Link Overview and Environment Eye Diagrams V V t b This is a This is a V e Eye Opening - space between and Elad Alon Dept. of EECS t e With voltage noise With timing

More information

H19- Reliable Serial Backplane Data Transmission at 10 Gb/s. January 30, 2002 Slide 1 of 24

H19- Reliable Serial Backplane Data Transmission at 10 Gb/s. January 30, 2002 Slide 1 of 24 H19- Reliable Serial Backplane Data Transmission at 10 Gb/s Slide 1 of 24 Evolution of the Interconnect F r e q u e n c y A c t i v e Channel Architecture Connectors Transmission Media Loss Properties

More information

How Long is Too Long? A Via Stub Electrical Performance Study

How Long is Too Long? A Via Stub Electrical Performance Study How Long is Too Long? A Via Stub Electrical Performance Study Michael Rowlands, Endicott Interconnect Michael.rowlands@eitny.com, 607.755.5143 Jianzhuang Huang, Endicott Interconnect 1 Abstract As signal

More information

DesignCon Design of Gb/s Interconnect for High-bandwidth FPGAs. Sherri Azgomi, Altera Corporation

DesignCon Design of Gb/s Interconnect for High-bandwidth FPGAs. Sherri Azgomi, Altera Corporation DesignCon 2004 Design of 3.125 Gb/s Interconnect for High-bandwidth FPGAs Sherri Azgomi, Altera Corporation sazgomi@altera.com Lawrence Williams, Ph.D., Ansoft Corporation williams@ansoft.com CF-031505-1.0

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

Q2 QMS-DP/QFS-DP Series 11 mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: February 22, 2005

Q2 QMS-DP/QFS-DP Series 11 mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: February 22, 2005 Q2 QMS-DP/QFS-DP Series 11 mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications Revision Date: February 22, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in

More information

Intel 82566/82562V Layout Checklist (version 1.0)

Intel 82566/82562V Layout Checklist (version 1.0) Intel 82566/82562V Layout Checklist (version 1.0) Project Name Fab Revision Date Designer Intel Contact SECTION CHECK ITEMS REMARKS DONE General Ethernet Controller Obtain the most recent product documentation

More information

High-Speed Interconnect Technology for Servers

High-Speed Interconnect Technology for Servers High-Speed Interconnect Technology for Servers Hiroyuki Adachi Jun Yamada Yasushi Mizutani We are developing high-speed interconnect technology for servers to meet customers needs for transmitting huge

More information

Plastic straw: future of high-speed signaling

Plastic straw: future of high-speed signaling Supplementary Information for Plastic straw: future of high-speed signaling Ha Il Song, Huxian Jin, and Hyeon-Min Bae * Korea Advanced Institute of Science and Technology (KAIST), Department of Electrical

More information

QPairs QTE-DP/QSE-DP Final Inch Designs in Serial ATA Generation 1 Applications 5mm Stack Height. REVISION DATE: January 12, 2005

QPairs QTE-DP/QSE-DP Final Inch Designs in Serial ATA Generation 1 Applications 5mm Stack Height. REVISION DATE: January 12, 2005 Application Note QPairs QTE-DP/QSE-DP Final Inch Designs in Serial ATA Generation 1 Applications 5mm Stack Height REVISION DATE: January 12, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed

More information

Chapter 12: Transmission Lines. EET-223: RF Communication Circuits Walter Lara

Chapter 12: Transmission Lines. EET-223: RF Communication Circuits Walter Lara Chapter 12: Transmission Lines EET-223: RF Communication Circuits Walter Lara Introduction A transmission line can be defined as the conductive connections between system elements that carry signal power.

More information

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits 1 ECEN 720 High-Speed Links: Circuits and Systems Lab6 Link Modeling with ADS Objective To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction

More information

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug JEDEX 2003 Memory Futures (Track 2) High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

Improving CDM Measurements With Frequency Domain Specifications

Improving CDM Measurements With Frequency Domain Specifications Improving CDM Measurements With Frequency Domain Specifications Jon Barth (1), Leo G. Henry Ph.D (2), John Richner (1) (1) Barth Electronics, Inc, 1589 Foothill Drive, Boulder City, NV 89005 USA tel.:

More information

150Hz to 1MHz magnetic field coupling to a typical shielded cable above a ground plane configuration

150Hz to 1MHz magnetic field coupling to a typical shielded cable above a ground plane configuration 150Hz to 1MHz magnetic field coupling to a typical shielded cable above a ground plane configuration D. A. Weston Lowfreqcablecoupling.doc 7-9-2005 The data and information contained within this report

More information

Examining The Concept Of Ground In Electromagnetic (EM) Simulation

Examining The Concept Of Ground In Electromagnetic (EM) Simulation Examining The Concept Of Ground In Electromagnetic (EM) Simulation While circuit simulators require a global ground, EM simulators don t concern themselves with ground at all. As a result, it is the designer

More information

Effect of Power Noise on Multi-Gigabit Serial Links

Effect of Power Noise on Multi-Gigabit Serial Links Effect of Power Noise on Multi-Gigabit Serial Links Ken Willis (kwillis@sigrity.com) Kumar Keshavan (ckumar@sigrity.com) Jack Lin (jackwclin@sigrity.com) Tariq Abou-Jeyab (tariqa@sigrity.com) Sigrity Inc.,

More information

Semiconductor Detector Systems

Semiconductor Detector Systems Semiconductor Detector Systems Helmuth Spieler Physics Division, Lawrence Berkeley National Laboratory OXFORD UNIVERSITY PRESS ix CONTENTS 1 Detector systems overview 1 1.1 Sensor 2 1.2 Preamplifier 3

More information

Internal Model of X2Y Chip Technology

Internal Model of X2Y Chip Technology Internal Model of X2Y Chip Technology Summary At high frequencies, traditional discrete components are significantly limited in performance by their parasitics, which are inherent in the design. For example,

More information

Application Note 5044

Application Note 5044 HBCU-5710R 1000BASE-T Small Form Pluggable Low Voltage (3.3V) Electrical Transceiver over Category 5 Unshielded Twisted Pair Cable Characterization Report Application Note 5044 Summary The Physical Medium

More information

Chapter 16 PCB Layout and Stackup

Chapter 16 PCB Layout and Stackup Chapter 16 PCB Layout and Stackup Electromagnetic Compatibility Engineering by Henry W. Ott Foreword The PCB represents the physical implementation of the schematic. The proper design and layout of a printed

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

ELEC Course Objectives/Proficiencies

ELEC Course Objectives/Proficiencies Lecture 1 -- to identify (and list examples of) intentional and unintentional receivers -- to list three (broad) ways of reducing/eliminating interference -- to explain the differences between conducted/radiated

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

Ensuring Signal and Power Integrity for High-Speed Digital Systems

Ensuring Signal and Power Integrity for High-Speed Digital Systems Ensuring Signal and Power Integrity for High-Speed Digital Systems An EMC Perspective Christian Schuster Institut für Theoretische Elektrotechnik Technische Universität Hamburg-Harburg (TUHH) Invited Presentation

More information

Texas Instruments DisplayPort Design Guide

Texas Instruments DisplayPort Design Guide Texas Instruments DisplayPort Design Guide April 2009 1 High Speed Interface Applications Introduction This application note presents design guidelines, helping users of Texas Instruments DisplayPort devices

More information

TERM PAPER OF ELECTROMAGNETIC

TERM PAPER OF ELECTROMAGNETIC TERM PAPER OF ELECTROMAGNETIC COMMUNICATION SYSTEMS TOPIC: LOSSES IN TRANSMISSION LINES ABSTRACT: - The transmission lines are considered to be impedance matching circuits designed to deliver rf power

More information

DesignCon East Feasibility of 40 to 50 Gbps NRZ Interconnect Design for Terabit Backplanes

DesignCon East Feasibility of 40 to 50 Gbps NRZ Interconnect Design for Terabit Backplanes DesignCon East 2005 Feasibility of 40 to 50 Gbps NRZ Interconnect Design for Terabit Backplanes Roger Weiss, Paricon Technologies Corporation President, RWeiss@paricon-tech.com Scott McMorrow, Teraspeed

More information

Analysis of a PCB-Chassis System Including Different Sizes of Multiple Planes Based on SPICE

Analysis of a PCB-Chassis System Including Different Sizes of Multiple Planes Based on SPICE Analysis of a PCB-Chassis System Including Different Sizes of Multiple Planes Based on SPICE Naoki Kobayashi (1), Todd Hubing (2) and Takashi Harada (1) (1) NEC, System Jisso Research Laboratories, Kanagawa,

More information

LoopBack Relay. GLB363 Series. With Built-in AC Bypass Capacitors / DC LoopBack Relay

LoopBack Relay. GLB363 Series. With Built-in AC Bypass Capacitors / DC LoopBack Relay GLB363 Series With Built-in AC Bypass Capacitors / DC SERIES DESIGNATION GLB363 RELAY TYPE, Sensitive Coil, Surface Mount Ground Shield and Stub pins with AC Bypass Capacitors or No capacitor DESCRIPTION

More information

DEPARTMENT FOR CONTINUING EDUCATION

DEPARTMENT FOR CONTINUING EDUCATION DEPARTMENT FOR CONTINUING EDUCATION Reduce EMI Emissions for FREE! by Bruce Archambeault, Ph.D. (reprinted with permission from Bruce Archambeault) Bruce Archambeault presents two courses during the University

More information

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab. High-Speed Circuits and Systems Laboratory B.M.Yu 1 Content 1. Introduction 2. Pre-emphasis 1. Amplitude pre-emphasis 2. Phase pre-emphasis 3. Circuit implantation 4. Result 5. Conclusion 2 Introduction

More information

TECHNICAL REPORT: CVEL Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors

TECHNICAL REPORT: CVEL Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors TECHNICAL REPORT: CVEL-14-059 Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors Andrew J. McDowell and Dr. Todd H. Hubing Clemson University April 30, 2014

More information

Studies on FIR Filter Pre-Emphasis for High-Speed Backplane Data Transmission

Studies on FIR Filter Pre-Emphasis for High-Speed Backplane Data Transmission Studies on FIR Filter Pre-Emphasis for High-Speed Backplane Data Transmission Miao Li Department of Electronics Carleton University Ottawa, ON. K1S5B6, Canada Tel: 613 525754 Email:mili@doe.carleton.ca

More information

Lecture 4. Maximum Transfer of Power. The Purpose of Matching. Lecture 4 RF Amplifier Design. Johan Wernehag Electrical and Information Technology

Lecture 4. Maximum Transfer of Power. The Purpose of Matching. Lecture 4 RF Amplifier Design. Johan Wernehag Electrical and Information Technology Johan Wernehag, EIT Lecture 4 RF Amplifier Design Johan Wernehag Electrical and Information Technology Design of Matching Networks Various Purposes of Matching Voltage-, Current- and Power Matching Design

More information

Comparison of IC Conducted Emission Measurement Methods

Comparison of IC Conducted Emission Measurement Methods IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 3, JUNE 2003 839 Comparison of IC Conducted Emission Measurement Methods Franco Fiori, Member, IEEE, and Francesco Musolino, Member, IEEE

More information

DDR4 memory interface: Solving PCB design challenges

DDR4 memory interface: Solving PCB design challenges DDR4 memory interface: Solving PCB design challenges Chang Fei Yee - July 23, 2014 Introduction DDR SDRAM technology has reached its 4th generation. The DDR4 SDRAM interface achieves a maximum data rate

More information

Data Mining 12-Port S- Parameters

Data Mining 12-Port S- Parameters DesignCon 2008 Data Mining 12-Port S- Parameters Dr. Eric Bogatin, Bogatin Enterprises eric@bethesignal.com Mike Resso, Agilent Technologies Mike_Resso@agilent.com Abstract 12-port Differential S-parameters

More information

Backchannel Modeling and Simulation Using Recent Enhancements to the IBIS Standard

Backchannel Modeling and Simulation Using Recent Enhancements to the IBIS Standard Backchannel Modeling and Simulation Using Recent Enhancements to the IBIS Standard By Ken Willis, Product Engineering Architect; Ambrish Varma, Senior Principal Software Engineer; Dr. Kumar Keshavan, Senior

More information

if the conductance is set to zero, the equation can be written as following t 2 (4)

if the conductance is set to zero, the equation can be written as following t 2 (4) 1 ECEN 720 High-Speed Links: Circuits and Systems Lab1 - Transmission Lines Objective To learn about transmission lines and time-domain reflectometer (TDR). Introduction Wires are used to transmit clocks

More information

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV)

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Jihye Kim, Insu Hwang, Youngwoo Kim, Heegon Kim and Joungho Kim Department of Electrical Engineering

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

IC Decoupling and EMI Suppression using X2Y Technology

IC Decoupling and EMI Suppression using X2Y Technology IC Decoupling and EMI Suppression using X2Y Technology Summary Decoupling and EMI suppression of ICs is a complex system level engineering problem complicated by the desire for faster switching gates,

More information

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB 3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB Tae Hong Kim, Hyungsoo Kim, Jun So Pak, and Joungho Kim Terahertz

More information

Validation Report Comparison of Eye Patterns Generated By Synopsys HSPICE and the Agilent PLTS

Validation Report Comparison of Eye Patterns Generated By Synopsys HSPICE and the Agilent PLTS Comparison of Eye Patterns Generated By Synopsys HSPICE and the Agilent PLTS Using: Final Inch Test/Eval Kit, Differential Pair - No Grounds Configuration, QTE-DP/QSE-DP, 5mm Stack Height (P/N FIK-QxE-04-01)

More information

To learn Statistical Bit-error-rate (BER) simulation, BERlink noise budgeting and usage of ADS to model high speed I/O link circuits.

To learn Statistical Bit-error-rate (BER) simulation, BERlink noise budgeting and usage of ADS to model high speed I/O link circuits. 1 ECEN 720 High-Speed Links Circuits and Systems Lab6 Link Modeling with ADS Objective To learn Statistical Bit-error-rate (BER) simulation, BERlink noise budgeting and usage of ADS to model high speed

More information

Methodology for MMIC Layout Design

Methodology for MMIC Layout Design 17 Methodology for MMIC Layout Design Fatima Salete Correra 1 and Eduardo Amato Tolezani 2, 1 Laboratório de Microeletrônica da USP, Av. Prof. Luciano Gualberto, tr. 3, n.158, CEP 05508-970, São Paulo,

More information

Improve Simulation Accuracy When Using Passive Components

Improve Simulation Accuracy When Using Passive Components Improve Simulation Accuracy When Using Passive Components A better IC model can improve PSpice simulation accuracies, but other components, such as, passive components, can influence simulation accuracy

More information

SINGLE-ENDED 16x8 GBPS DATA BUS IN 90NM CMOS

SINGLE-ENDED 16x8 GBPS DATA BUS IN 90NM CMOS SINGLE-ENDED 16x8 GBPS DATA BUS IN 90NM CMOS By SAURABH MANDHANYA A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE IN ELECTRICAL ENGINEERING WASHINGTON

More information

100 Gb/s: The High Speed Connectivity Race is On

100 Gb/s: The High Speed Connectivity Race is On 100 Gb/s: The High Speed Connectivity Race is On Cathy Liu SerDes Architect, LSI Corporation Harold Gomard SerDes Product Manager, LSI Corporation October 6, 2010 Agenda 100 Gb/s Ethernet evolution SoC

More information

Chapter 2. Literature Review

Chapter 2. Literature Review Chapter 2 Literature Review 2.1 Development of Electronic Packaging Electronic Packaging is to assemble an integrated circuit device with specific function and to connect with other electronic devices.

More information

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model HSD Strategic Intent Provide the industry s premier HSD EDA software. Integration of premier

More information

LISN UP Application Note

LISN UP Application Note LISN UP Application Note What is the LISN UP? The LISN UP is a passive device that enables the EMC Engineer to easily distinguish between differential mode noise and common mode noise. This will enable

More information

ELECTROMAGNETIC COMPATIBILITY HANDBOOK 1. Chapter 8: Cable Modeling

ELECTROMAGNETIC COMPATIBILITY HANDBOOK 1. Chapter 8: Cable Modeling ELECTROMAGNETIC COMPATIBILITY HANDBOOK 1 Chapter 8: Cable Modeling Related to the topic in section 8.14, sometimes when an RF transmitter is connected to an unbalanced antenna fed against earth ground

More information

Characterization Methodology for High Density Microwave Fixtures. Dr. Brock J. LaMeres, Montana State University

Characterization Methodology for High Density Microwave Fixtures. Dr. Brock J. LaMeres, Montana State University DesignCon 2008 Characterization Methodology for High Density Microwave Fixtures Dr. Brock J. LaMeres, Montana State University lameres@ece.montana.edu Brent Holcombe, Probing Technology, Inc brent.holcombe@probingtechnology.com

More information

Designing external cabling for low EMI radiation A similar article was published in the December, 2004 issue of Planet Analog.

Designing external cabling for low EMI radiation A similar article was published in the December, 2004 issue of Planet Analog. HFTA-13.0 Rev.2; 05/08 Designing external cabling for low EMI radiation A similar article was published in the December, 2004 issue of Planet Analog. AVAILABLE Designing external cabling for low EMI radiation

More information

LoopBack Relay. LB363 Series. With Built-in AC Bypass Capacitors. LoopBack Relay, Sensitive Coil, thru-hole with AC Bypass Capacitors

LoopBack Relay. LB363 Series. With Built-in AC Bypass Capacitors. LoopBack Relay, Sensitive Coil, thru-hole with AC Bypass Capacitors LB363 Series With Built-in AC Bypass Capacitors SERIES DESIGNATION LB363 RELAY TYPE, Sensitive Coil, thru-hole with AC Bypass Capacitors DESCRIPTION The LoopBack Series relay combines two DPDT electromechanical

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

Logic Analyzer Probing Techniques for High-Speed Digital Systems

Logic Analyzer Probing Techniques for High-Speed Digital Systems DesignCon 2003 High-Performance System Design Conference Logic Analyzer Probing Techniques for High-Speed Digital Systems Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft

Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft Asian IBIS Summit 2017 Taipei, ROC November 15, 2017 9 Combinations of TX and RX Model Types AMI file has: GetWave_Exists

More information

Comparison of Time Domain and Statistical IBIS-AMI Analyses

Comparison of Time Domain and Statistical IBIS-AMI Analyses Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft Asian IBIS Summit 2017 Shanghai, PRC November 13, 2017 9 Combinations of TX and RX Model Types AMI file has: GetWave_Exists

More information

Design and experimental realization of the chirped microstrip line

Design and experimental realization of the chirped microstrip line Chapter 4 Design and experimental realization of the chirped microstrip line 4.1. Introduction In chapter 2 it has been shown that by using a microstrip line, uniform insertion losses A 0 (ω) and linear

More information

High Performance Package Trends Driving BackDrill File Generation Using Cadence Allegro. Chris Heard and Leigh Eichel

High Performance Package Trends Driving BackDrill File Generation Using Cadence Allegro. Chris Heard and Leigh Eichel High Performance Package Trends Driving BackDrill File Generation Using Cadence Allegro By Chris Heard and Leigh Eichel 1. Introduction As the semiconductor industry passes the 100 billion unit mark for

More information

Analysis on the Effectiveness of Clock Trace Termination Methods and Trace Lengths on a Printed Circuit Board

Analysis on the Effectiveness of Clock Trace Termination Methods and Trace Lengths on a Printed Circuit Board Analysis on the Effectiveness of Clock Trace Termination Methods and Trace Lengths on a Printed Circuit Board Mark I. Montrose Montrose Compliance Services 2353 Mission Glen Dr. Santa Clara, CA 95051-1214

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

Impact of etch factor on characteristic impedance, crosstalk and board density

Impact of etch factor on characteristic impedance, crosstalk and board density IMAPS 2012 - San Diego, California, USA, 45th International Symposium on Microelectronics Impact of etch factor on characteristic impedance, crosstalk and board density Abdelghani Renbi, Arash Risseh,

More information

A VIEW OF ELECTROMAGNETIC LIFE ABOVE 100 MHz

A VIEW OF ELECTROMAGNETIC LIFE ABOVE 100 MHz A VIEW OF ELECTROMAGNETIC LIFE ABOVE 100 MHz An Experimentalist's Intuitive Approach Lothar O. (Bud) Hoeft, PhD Consultant, Electromagnetic Effects 5012 San Pedro Ct., NE Albuquerque, NM 87109-2515 (505)

More information

The Impact Of Signal Jumping Across Multiple Different Reference Planes On Electromagnetic Compatibility

The Impact Of Signal Jumping Across Multiple Different Reference Planes On Electromagnetic Compatibility Copyright by Dr. Andrew David Norte, All Rights Reserved March 18 th, 2012 The Impact Of Signal Jumping Across Multiple Different Reference Planes On Electromagnetic Compatibility David Norte, PhD www.the-signal-and-power-integrity-institute.com

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

DesignCon Control of Electromagnetic Radiation from Integrated Circuit Heat sinks. Cristian Tudor, Fidus Systems Inc.

DesignCon Control of Electromagnetic Radiation from Integrated Circuit Heat sinks. Cristian Tudor, Fidus Systems Inc. DesignCon 2009 Control of Electromagnetic Radiation from Integrated Circuit Heat sinks Cristian Tudor, Fidus Systems Inc. Cristian.Tudor@fidus.ca Syed. A. Bokhari, Fidus Systems Inc. Syed.Bokhari@fidus.ca

More information

Keysight Technologies Signal Integrity Tips and Techniques Using TDR, VNA and Modeling

Keysight Technologies Signal Integrity Tips and Techniques Using TDR, VNA and Modeling Keysight Technologies Signal Integrity Tips and Techniques Using, VNA and Modeling Article Reprint This article first appeared in the March 216 edition of Microwave Journal. Reprinted with kind permission

More information

25Gb/s Ethernet Channel Design in Context:

25Gb/s Ethernet Channel Design in Context: 25Gb/s Ethernet Channel Design in Context: Channel Operating Margin (COM) Brandon Gore April 22 nd 2016 Backplane and Copper Cable Ethernet Interconnect Channel Compliance before IEEE 802.3bj What is COM?

More information

Application Note 1360

Application Note 1360 ADA-4743 +17 dbm P1dB Avago Darlington Amplifier Application Note 1360 Description Avago Technologies Darlington Amplifier, ADA-4743 is a low current silicon gain block RFIC amplifier housed in a 4-lead

More information

Advanced Transmission Lines. Transmission Line 1

Advanced Transmission Lines. Transmission Line 1 Advanced Transmission Lines Transmission Line 1 Transmission Line 2 1. Transmission Line Theory :series resistance per unit length in. :series inductance per unit length in. :shunt conductance per unit

More information

10 Mb/s Single Twisted Pair Ethernet Implementation Thoughts Proof of Concept Steffen Graber Pepperl+Fuchs

10 Mb/s Single Twisted Pair Ethernet Implementation Thoughts Proof of Concept Steffen Graber Pepperl+Fuchs 10 Mb/s Single Twisted Pair Ethernet Implementation Thoughts Proof of Concept Steffen Graber Pepperl+Fuchs IEEE802.3 10 Mb/s Single Twisted Pair Ethernet Study Group 9/8/2016 1 Overview Signal Coding Analog

More information

The Design of E-band MMIC Amplifiers

The Design of E-band MMIC Amplifiers The Design of E-band MMIC Amplifiers Liam Devlin, Stuart Glynn, Graham Pearson, Andy Dearn * Plextek Ltd, London Road, Great Chesterford, Essex, CB10 1NY, UK; (lmd@plextek.co.uk) Abstract The worldwide

More information

Faster than a Speeding Bullet

Faster than a Speeding Bullet BEYOND DESIGN Faster than a Speeding Bullet by Barry Olney IN-CIRCUIT DESIGN PTY LTD AUSTRALIA In a previous Beyond Design column, Transmission Lines, I mentioned that a transmission line does not carry

More information

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link 1 A 0.18µm CMOS 3.125-Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link Ki-Hyuk Lee, Jae-Wook Lee nonmembers and Woo-Young Choi regular member

More information

PHY Layout APPLICATION REPORT: SLLA020. Ron Raybarman Burke S. Henehan 1394 Applications Group

PHY Layout APPLICATION REPORT: SLLA020. Ron Raybarman Burke S. Henehan 1394 Applications Group PHY Layout APPLICATION REPORT: SLLA020 Ron Raybarman Burke S. Henehan 1394 Applications Group Mixed Signal and Logic Products Bus Solutions November 1997 IMPORTANT NOTICE Texas Instruments (TI) reserves

More information

How to Read S-Parameters Like a Book or Tapping Into Some Of The Information Buried Inside S- Parameter Black Box Models

How to Read S-Parameters Like a Book or Tapping Into Some Of The Information Buried Inside S- Parameter Black Box Models Slide -1 Bogatin Enterprises and LeCroy Corp No Myths Allowed Webinar Time before start: How to Read S-Parameters Like a Book or Tapping Into Some Of The Information Buried Inside S- Parameter Black Box

More information

Matched Terminated Stub for VIA Higher Technology Bandwidth Transmission. in Line Cards and Back Planes. Printed Circuit Board Operations

Matched Terminated Stub for VIA Higher Technology Bandwidth Transmission. in Line Cards and Back Planes. Printed Circuit Board Operations Matched Terminated Stub VIA Technology Matched Terminated Stub for VIA Higher Technology Bandwidth Transmission for Higher Bandwidth Transmission in Line Cards and Back Planes. in Line Cards and Back Planes.

More information

SINCE the performance of personal computers (PCs) has

SINCE the performance of personal computers (PCs) has 334 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 5, MAY 2010 Multi-Slot Main Memory System for Post DDR3 Jaejun Lee, Sungho Lee, and Sangwook Nam, Member, IEEE Abstract This

More information

1.25Gbps/2.5Gbps, +3V to +5.5V, Low-Noise Transimpedance Preamplifiers for LANs

1.25Gbps/2.5Gbps, +3V to +5.5V, Low-Noise Transimpedance Preamplifiers for LANs 19-4796; Rev 1; 6/00 EVALUATION KIT AVAILABLE 1.25Gbps/2.5Gbps, +3V to +5.5V, Low-Noise General Description The is a transimpedance preamplifier for 1.25Gbps local area network (LAN) fiber optic receivers.

More information

Advanced Signal Integrity Measurements of High- Speed Differential Channels

Advanced Signal Integrity Measurements of High- Speed Differential Channels Advanced Signal Integrity Measurements of High- Speed Differential Channels September 2004 presented by: Mike Resso Greg LeCheminant Copyright 2004 Agilent Technologies, Inc. What We Will Discuss Today

More information

Physical Test Setup for Impulse Noise Testing

Physical Test Setup for Impulse Noise Testing Physical Test Setup for Impulse Noise Testing Larry Cohen Overview Purpose: Use measurement results for the EM coupling (Campbell) clamp to determine a stable physical test setup for impulse noise testing.

More information

Verifying Simulation Results with Measurements. Scott Piper General Motors

Verifying Simulation Results with Measurements. Scott Piper General Motors Verifying Simulation Results with Measurements Scott Piper General Motors EM Simulation Software Can be easy to justify the purchase of software packages even costing tens of thousands of dollars Upper

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 0 Lecture 8: RX FIR, CTLE, & DFE Equalization Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is

More information

End-to-End System-Level Simulations with Repeaters for PCIe Gen4: A How-To Guide

End-to-End System-Level Simulations with Repeaters for PCIe Gen4: A How-To Guide DesignCon 2017 End-to-End System-Level Simulations with Repeaters for PCIe Gen4: A How-To Guide Yongyao Li, Huawei liyongyao@huawei.com Casey Morrison, Texas Instruments cmorrison@ti.com Fangyi Rao, Keysight

More information