Matched Terminated Stub for VIA Higher Technology Bandwidth Transmission. in Line Cards and Back Planes. Printed Circuit Board Operations

Size: px
Start display at page:

Download "Matched Terminated Stub for VIA Higher Technology Bandwidth Transmission. in Line Cards and Back Planes. Printed Circuit Board Operations"

Transcription

1 Matched Terminated Stub VIA Technology Matched Terminated Stub for VIA Higher Technology Bandwidth Transmission for Higher Bandwidth Transmission in Line Cards and Back Planes. in Line Cards and Back Planes. Printed Circuit Board Operations George Dudnikov Senior Vice President & CTO Dr. Vladimir Duvanenko Manager Signal Integrity 2008 Sanmina-SCI Corporation. Sanmina-SCI is a trademark of Sanmina-SCI Corporation. All trademarks and registered trademarks are the property of their respective owners.

2 Abstract In line cards and backplanes the inherent impedance mismatch of a via stub is a significant impediment to obtaining higher transmission bandwidth. This obstacle has been circumvented by using back drilling production methods resulting in higher product cost for backplanes. In line cards, the tighter dimensions on vias and pads make back drilling difficult if not impossible. Buried and blind vias can reduce stubs but are even more costly At data transmission rates > 10 Gbps, backdrilling alone may not be adequate enough to reduce jitter and BER An optimized via technology is presented as an additional SI improvement to backdrilling A matched terminated stub (MTS) via technology is presented as an alterative approach to the high cost of stub back drilling that can be applied to lines cards and backplanes

3 PCB Signal Integrity Drivers Bandwidth 2.5 Gbps X 5 Gbps 10 Gbps X 20 Gbps X 40 Gbps Production Demonstrated Development Signal strength decreases (is attenuated) with increasing frequency/distance due to skin depth (trace), And loss tangent (dielectric) losses Relative Level Signal >> Noise (Excellent) Signal > Noise (Marginal) Larger trace widths and lower dielectric losses push this curve up Increased trace-to-trace separation distance, better impedance control push this curve down Signal < Noise (Non-functional) Noise increases with increasing frequency/distance due to crosstalk and impedance mismatch reflections (via stubs) Increasing Frequency/Distance

4 Performance Trends/Expectations Difficult 2X Data Rate Increases 10 Gig (per 4 lanes) 20 Gig (per 4 lanes) 40 Gig (per 4 lanes) 80 Gig (per 4 lanes) 160 Gig (per 4 lanes) BER Gb/s (per diff pair) 6.25 Gb/s (per diff pair) 12.5 Gb/s (per diff pair) 25 Gb/s (per diff pair) 50 Gb/s (per diff pair) More Difficult 10 3 BER Reductions Market Drivers Squeeze Out One More Rate Increase Brand New Design Most Difficult Technology Domains 1: Active compensation (waveshaping, driver pre/de-emphasis, receiver equalization) 2: Connector and attachment technology (press-fit, pressure-fit, SMT, BGA) 3: Backplane/PCB material (dielectric) and interconnect geometry (via/trace size/shape)

5 Sources of Passive Interconnect Losses and Distortions Undesired Effect Connector Via Field PCB/Backplane Dielectric Trace Losses Conduction Losses 1 Dielectric Losses X X Distortions Impedance Mismatches 2 Crosstalk Systemic/Random Variations 3 X X X X X X X Primary Source of Grief Geometry Geometry Material 4 Geometry Data Rate (Gb/s) Connectors migrating away from Press-Fit Note how geometry (size/shape) in the connector/via field regions dominates the majority of undesired sources of interconnect distortion at higher data rates. Via-Fields migrating away from PTH 1 Includes skin depth, surface roughness and non-uniform current crowding effects 2 Includes distributed/lumped element mismatches and memory effects 3 Includes via thru/stub ratio effect 4 Technology does not exist to tweak at the molecular level. Can only change molecules (materials).

6 Bad Agents: Long Via Stubs Normal Signal Flow Distorted Signal (5 mil wide, 20 long traces in FR4) Unterminated Stub G F H G Degrading Stub Reflections A E B D C Nulls are difficult to control Note only connector/via field regions [geometry sizes/shapes] were changed to reduce distortions.

7 Via Stub Versus Thru Performance (Bare Backplane) Note: Distortions due to long stub lengths dominate over distortions due to long line lengths (skin depth/dielectric losses)

8 Via Stub Loss Versus Dielectric Loss Locations of Via Stub Structures Higher Distortion Df.021 Df.008 Lower Loss Note: Improving dielectric material can actually increase distortion!

9 Improving Signal Integrity Performance using Backdrilling Backdrilling eliminates detrimental plated-through-hole (PTH) via stub effects that distort signals passing through them Without Backdrilling With Backdrilling 6.25 Gb/s Data Rate Unusable Eye Diagram Usable Eye Diagram

10 Approximate Stub Loss Effects Stub Length (mils) Signal Loss (Percent) % % % % 20 5% 40 10% 60 15% % % % Approximate Signal Loss (%) % Trace Impedance Mismatch 20 mil Stub = 5% Loss % Stub Length (mils) 250 ** Applicable for D k > 3.5, D F > 0.005, mil connector drill diameters, data rates < 8 Gb/s. (This is a rule of thumb, not a design guide.)

11 High Speed Interconnect World Shift Diff Pair h Diff Pair Diff Pair w Old Metric: GB/s/diff pair New Metric: GB/s/in 2 Applicable to PCBs, Back/Midplanes and Connectors Approach A (Traditional/Long Term) Develop technology to increase GB/s/diff pair Better Dielectrics Lower loss tangents More uniform (solve glass weave issues) Smoother traces (surface roughness) More complex active comp Volume 25 GB/s/diff pair circa 2013 SSCI is developing new processes to increase via/trace routing density (Examples: MTS-Via, SVP, 85 Ω Diff Pairs) Approach B (Near Term Focus) Develop technology to increase routing density Focus on GB/s/in 2 not GB/s/diff pair 25 GB/s/diff pair not as important Smaller trace widths (8-10 mils 3-4 mils) Thinner dielectric thicknesses (8-10 mils 3-4 mils) Higher aspect-ratio vias (25:1 and up) Smaller connector pin pitches (1.8/1.5 mm) Press fit and BGA Smaller press-fit vias (9-10 mil finished hole size) Examples: Tyco Multi-Gig, Tinman FCI Airmax, Zipline Molex Impact Smaller diameter holes are harder to backdrill due to drill breakage and registration

12 At higher frequencies ( >10 Gbps ), backdrilled vias may still have distortion effects which will increase BER Opti-vias are a family of engineered via structures whose S-parameters have been optimized for high speed performance Proprietary algorithms adjust pad and antipad geometries, sizes, and locations to tune the residual portion of the barrel by optimizing the inherent L and C components of the via structure. Before Optimization After Optimization Standard Via Non-uniform S-parameters Flat S-parameters Opti-Via Unusable Eye Diagram Usable Eye Diagram 12.5 Gb/s Data Rate

13 Backdrill Limitations Too Many Backdrill Depths increases cost Two sided Backdrill increases cost ( up to 12 depths from each side) New High Speed Connectors will require smaller diameter holes BGA Vias require backdrilling 6-10 mil diameter holes on potentially tens of thousands of I/O Special drilling machines required for small diameter backdrilling tolerance Drill breakage and yield issues Capacity issues Can get costly 8 mil BGA Via

14 An Alternative Approach: MTS-Via Matched Terminated Stub Technology

15 Concept: Terminate stub reflections to ground using a resistor equal to the Zo of the via 210a 210b 215c 215a 215b 215d 110a 110b 110c 110d 110e 110f a 330b 330c 330d 330e 330f Single Ended Diff Pair

16 Concept Validation in Lab Testing 51 ohm resistors connects via stubs to ground Control case: same board, no resistors Measured using Anritsu PPG, Tektronix CSA 8000B 32 bit increasing psuedo-random pattern Semi-rigid coax cables connected directly with via MTSvia Assembly

17 Measurement Results Control 0.3 V MTSvia using 51 Ohms V O L T A G E 0 ps Time (ps) V 0 ps Time (ps) GB/s from Anritsu MD17636 PPG 22 Layer Motherboard Control: Unterminated Control Jitter: 160 ps (1.00 UI) - Eye Completely Closed MTSvia Jitter: 52 ps (0.325 UI) 3 to 1 improvement The MTSvia resistor absorbs the reflected signal resulting in a reduction in signal strength The MTSvia reduces the signal distortion due to elimination of ISI and crosstalk Eye opens up

18 Simulation Tools used to optimize MTSvia resistor values, S parameters, and design rules Control 1.5 V MTSvia using 50 Ohms V O L T A G E -0.5 V 0 ps Time (ps) GB/s from CJPAT PWL voltage source Using 1us of simulated data Control Eye: ps width, 713 mv height MTSvia Eye: ps width, 404 mv height 0 ps Time (ps) 640 Ansoft 3D Model

19 BER is more sensitive to width of eye closure than height of eye closure. Stub creates pedestal/staircase distortion during a transition that decreased width of eye. Control MTS-Via sacrifices some eye height in order to get a much larger increase (10x) in eye width. Jitter/BER is nonlinear. A10X decrease in jitter can often decrease BER by many orders of magnitude. MTS-Via (25 Ohms) 0.2 V 0.40 UI 0.04 UI V O L T A G E 0 ps Time (ps) V 0 ps Time (ps) GB/s from CJPAT PWL voltage source Using 500ns of simulated data Control Jitter: 64 ps (0.40 UI) MTS-Via Eye: 6 ps (0.04 UI) Jitter Reduction: 0.40/0.04 = 10X MTS-Via removes pedestal/staircase distortion, thereby increasing width of eye.

20 ABR Annular Buried Resistor for MTSvia applications Via to Signal Via to Via Via to Plane Buried Resistor Advantages Frequency independent terminator Eliminates need for discrete surface resistors Saves space No routing required Low Cost Polymer Thick Film High Speed Laser Trimming Available

21 MTSvia using Annular Buried Resistor MTS Via = Matched Terminated Stub Via Terminated Via Stub Eliminates Stub Reflections, Reduces Jitter Normal Signal Flow Distorted Signal Unterminated Stub Large Jitter Without Stub Termination Degrading Stub Reflections Undistorted Signal Terminated Stub It is easier to compensate for a reduction in amplitude than correct for distortion Small Jitter With Stub Termination Stub Terminating Resistor (in anti-pad region) Stub Reflections Eliminated MTSvia resistor value is adjusted to balance Signal Strength vs Signal Distortion

22 MTS-via application for internal signal routing eliminates need for 2 sided backdrill or subcomposites Stub Useless portion EM wave Useful portion Stub MTS-VIA Residual Stub Signal Reference/Ground R

23 Summary Via stubs degrade the signal integrity ( SI) performance of PCB interconnects because they attenuate and distort signals that propagate through them. This degradation is frequency/data rate dependent, with larger amounts of degradation occurring at higher operating frequencies/data rates Backdrilling of via stubs is a cost effective way of minimizing stub effects but is limited in capability for higher density designs and higher frequencies ( > 10 Gbps) Via structures whose stub sections have been terminated into a resistance do not have back-reflections and therefore do not introduce as much signal distortion. MTSvia is an alternative technology utilizing an impedance matched stub with an adjustable signal / distortion ratio to cost effectively optimize signal integrity for higher density designs and higher data rates. Buried Capacitance, MTS-via, Opti-via, ABR are trademarks of HSCI and Sanmina-SCI and are technologies covered by multiple US and foreign patents. Licensing is available.

PCB Routing Guidelines for Signal Integrity and Power Integrity

PCB Routing Guidelines for Signal Integrity and Power Integrity PCB Routing Guidelines for Signal Integrity and Power Integrity Presentation by Chris Heard Orange County chapter meeting November 18, 2015 1 Agenda Insertion Loss 101 PCB Design Guidelines For SI Simulation

More information

Demystifying Vias in High-Speed PCB Design

Demystifying Vias in High-Speed PCB Design Demystifying Vias in High-Speed PCB Design Keysight HSD Seminar Mastering SI & PI Design db(s21) E H What is Via? Vertical Interconnect Access (VIA) An electrical connection between layers to pass a signal

More information

25Gb/s Ethernet Channel Design in Context:

25Gb/s Ethernet Channel Design in Context: 25Gb/s Ethernet Channel Design in Context: Channel Operating Margin (COM) Brandon Gore April 22 nd 2016 Backplane and Copper Cable Ethernet Interconnect Channel Compliance before IEEE 802.3bj What is COM?

More information

EE290C Spring Lecture 2: High-Speed Link Overview and Environment. Elad Alon Dept. of EECS

EE290C Spring Lecture 2: High-Speed Link Overview and Environment. Elad Alon Dept. of EECS EE290C Spring 2011 Lecture 2: High-Speed Link Overview and Environment Elad Alon Dept. of EECS Most Basic Link Keep in mind that your goal is to receive the same bits that were sent EE290C Lecture 2 2

More information

MICTOR. High-Speed Stacking Connector

MICTOR. High-Speed Stacking Connector MICTOR High-Speed Stacking Connector Electrical Performance Report for the 0.260" (6.6-mm) Stack Height Connector.......... Connector With Typical Footprint................... Connector in a System Report

More information

High Speed Characterization Report

High Speed Characterization Report ERCD_020_XX_TTR_TED_1_D Mated with: ERF8-020-05.0-S-DV-L Description: 0.8mm Edge Rate High Speed Coax Cable Assembly Samtec, Inc. 2005 All Rights Reserved Table of Contents Cable Assembly Overview... 1

More information

Signal Integrity Tips and Techniques Using TDR, VNA and Modeling. Russ Kramer O.J. Danzy

Signal Integrity Tips and Techniques Using TDR, VNA and Modeling. Russ Kramer O.J. Danzy Signal Integrity Tips and Techniques Using TDR, VNA and Modeling Russ Kramer O.J. Danzy Simulation What is the Signal Integrity Challenge? Tx Rx Channel Asfiakhan Dreamstime.com - 3d People Communication

More information

High Performance Package Trends Driving BackDrill File Generation Using Cadence Allegro. Chris Heard and Leigh Eichel

High Performance Package Trends Driving BackDrill File Generation Using Cadence Allegro. Chris Heard and Leigh Eichel High Performance Package Trends Driving BackDrill File Generation Using Cadence Allegro By Chris Heard and Leigh Eichel 1. Introduction As the semiconductor industry passes the 100 billion unit mark for

More information

Eye Diagrams. EE290C Spring Most Basic Link BER. What About That Wire. Why Wouldn t You Get What You Sent?

Eye Diagrams. EE290C Spring Most Basic Link BER. What About That Wire. Why Wouldn t You Get What You Sent? EE29C Spring 2 Lecture 2: High-Speed Link Overview and Environment Eye Diagrams V V t b This is a This is a V e Eye Opening - space between and Elad Alon Dept. of EECS t e With voltage noise With timing

More information

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model HSD Strategic Intent Provide the industry s premier HSD EDA software. Integration of premier

More information

PWB Solutions for High Speed Systems

PWB Solutions for High Speed Systems PWB Solutions for High Speed Systems Benson Chan, John Lauffer, Steve Rosser, Jim Stack Endicott Interconnect Technologies 1701 North Street, Endicott NY 13760 bchan@eitny.com Abstract The authors of this

More information

Z-Dok High-Performance Docking Connector

Z-Dok High-Performance Docking Connector Z-Dok High-Performance Docking Connector Electrical Performance Report... Connector With Typical Footprint... Connector in a System Report #22GC007, Revision A May 2002 2002 Tyco Electronics, Inc., Harrisburg,

More information

High Speed Characterization Report

High Speed Characterization Report ECDP-16-XX-L1-L2-2-2 Mated with: HSEC8-125-XX-XX-DV-X-XX Description: High-Speed 85Ω Differential Edge Card Cable Assembly, 30 AWG ACCELERATE TM Twinax Cable Samtec, Inc. 2005 All Rights Reserved Table

More information

3M Shielded Controlled Impedance (SCI) Latch/Eject Header 2 mm Development Kit Instructions

3M Shielded Controlled Impedance (SCI) Latch/Eject Header 2 mm Development Kit Instructions 3M Shielded Controlled Impedance (SCI) Latch/Eject Header 2 mm Development Kit Instructions Contents 1.0 Purpose....................................... 1 2.0 Development Kits..................................

More information

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction Manufacture and Performance of a Z-interconnect HDI Circuit Card Michael Rowlands, Rabindra Das, John Lauffer, Voya Markovich EI (Endicott Interconnect Technologies) 1093 Clark Street, Endicott, NY 13760

More information

High Speed Characterization Report

High Speed Characterization Report ESCA-XX-XX-XX.XX-1-3 Mated with: SEAF8-XX-05.0-X-XX-2-K SEAM8-XX-S02.0-X-XX-2-K Description: 0.80 mm SEARAY High-Speed/High-Density Array Cable Assembly, 34 AWG Samtec, Inc. 2005 All Rights Reserved Table

More information

How Long is Too Long? A Via Stub Electrical Performance Study

How Long is Too Long? A Via Stub Electrical Performance Study How Long is Too Long? A Via Stub Electrical Performance Study Michael Rowlands, Endicott Interconnect Michael.rowlands@eitny.com, 607.755.5143 Jianzhuang Huang, Endicott Interconnect 1 Abstract As signal

More information

VLSI is scaling faster than number of interface pins

VLSI is scaling faster than number of interface pins High Speed Digital Signals Why Study High Speed Digital Signals Speeds of processors and signaling Doubled with last few years Already at 1-3 GHz microprocessors Early stages of terahertz Higher speeds

More information

AN 766: Intel Stratix 10 Devices, High Speed Signal Interface Layout Design Guideline

AN 766: Intel Stratix 10 Devices, High Speed Signal Interface Layout Design Guideline AN 766: Intel Stratix 10 Devices, High Speed Signal Interface Layout Subscribe Latest document on the web: PDF HTML Contents Contents Intel Stratix 10 Devices, High Speed Signal Interface Layout... 3 Intel

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

Overcoming the Challenges of HDI Design

Overcoming the Challenges of HDI Design ALTIUMLIVE 2018: Overcoming the Challenges of HDI Design Susy Webb Design Science Sr PCB Designer San Diego Oct, 2018 1 Challenges HDI Challenges Building the uvia structures The cost of HDI (types) boards

More information

The Practical Limitations of S Parameter Measurements and the Impact on Time- Domain Simulations of High Speed Interconnects

The Practical Limitations of S Parameter Measurements and the Impact on Time- Domain Simulations of High Speed Interconnects The Practical Limitations of S Parameter Measurements and the Impact on Time- Domain Simulations of High Speed Interconnects Dennis Poulin Anritsu Company Slide 1 Outline PSU Signal Integrity Symposium

More information

DesignCon Design of Gb/s Interconnect for High-bandwidth FPGAs. Sherri Azgomi, Altera Corporation

DesignCon Design of Gb/s Interconnect for High-bandwidth FPGAs. Sherri Azgomi, Altera Corporation DesignCon 2004 Design of 3.125 Gb/s Interconnect for High-bandwidth FPGAs Sherri Azgomi, Altera Corporation sazgomi@altera.com Lawrence Williams, Ph.D., Ansoft Corporation williams@ansoft.com CF-031505-1.0

More information

High Speed Characterization Report

High Speed Characterization Report HLCD-20-XX-TD-BD-2 Mated with: LSHM-120-XX.X-X-DV-A Description: 0.50 mm Razor Beam High Speed Hermaphroditic Coax Cable Assembly Samtec, Inc. 2005 All Rights Reserved Table of Contents Cable Assembly

More information

Practical Guidelines for the Implementation of Back Drilling Plated Through Hole Vias in Multi-gigabit Board Applications DesignCon 2003

Practical Guidelines for the Implementation of Back Drilling Plated Through Hole Vias in Multi-gigabit Board Applications DesignCon 2003 DesignCon 2003 Abstract Title: Practical Guidelines for the implementation of back drilling plated through hole vias in multi-gigabit board applications Author: Tom Cohen Tom Cohen Tom is currently a principle

More information

High Speed Characterization Report

High Speed Characterization Report PCIEC-XXX-XXXX-EC-EM-P Mated with: PCIE-XXX-02-X-D-TH Description: 1.00 mm PCI Express Internal Cable Assembly, 30 AWG Twinax Ribbon Cable Samtec, Inc. 2005 All Rights Reserved Table of Contents Cable

More information

High Speed Characterization Report

High Speed Characterization Report PCRF-064-XXXX-EC-SMA-P-1 Mated with: PCIE-XXX-02-X-D-TH Description: PCI Express Cable Assembly, Low Loss Microwave Cable Samtec, Inc. 2005 All Rights Reserved Table of Contents Cable Assembly Overview...

More information

DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height. REVISION DATE: January 11, 2005

DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height. REVISION DATE: January 11, 2005 Application Note DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height REVISION DATE: January 11, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed

More information

Z-PACK HS3 6 Row Vertical Plug to Right Angle Receptacle

Z-PACK HS3 6 Row Vertical Plug to Right Angle Receptacle ELECTRICAL PERFORMANCE REPORT Z-PACK HS3 6 Row Vertical Plug to Right Angle Receptacle Literature Number 1308505 Issued September, 2000 Copyright, Tyco Electronics Corporation All Rights reserved TABLE

More information

Bill Ham Martin Ogbuokiri. This clause specifies the electrical performance requirements for shielded and unshielded cables.

Bill Ham Martin Ogbuokiri. This clause specifies the electrical performance requirements for shielded and unshielded cables. 098-219r2 Prepared by: Ed Armstrong Zane Daggett Bill Ham Martin Ogbuokiri Date: 07-24-98 Revised: 09-29-98 Revised again: 10-14-98 Revised again: 12-2-98 Revised again: 01-18-99 1. REQUIREMENTS FOR SPI-3

More information

PI3HDMIxxx 4-Layer PCB Layout Guideline for HDMI Products

PI3HDMIxxx 4-Layer PCB Layout Guideline for HDMI Products PI3HDMIxxx 4-Layer PCB Layout Guideline for HDMI Products Introduction The differential trace impedance of HDMI is specified at 100Ω±15% in Test ID 8-8 in HDMI Compliance Test Specification Rev.1.2a and

More information

Q2 QMS-DP/QFS-DP Series 11 mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: February 22, 2005

Q2 QMS-DP/QFS-DP Series 11 mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: February 22, 2005 Q2 QMS-DP/QFS-DP Series 11 mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications Revision Date: February 22, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in

More information

Probing Techniques for Signal Performance Measurements in High Data Rate Testing

Probing Techniques for Signal Performance Measurements in High Data Rate Testing Probing Techniques for Signal Performance Measurements in High Data Rate Testing K. Helmreich, A. Lechner Advantest Test Engineering Solutions GmbH Contents: 1 Introduction: High Data Rate Testing 2 Signal

More information

Design and Optimization of a Novel 2.4 mm Coaxial Field Replaceable Connector Suitable for 25 Gbps System and Material Characterization up to 50 GHz

Design and Optimization of a Novel 2.4 mm Coaxial Field Replaceable Connector Suitable for 25 Gbps System and Material Characterization up to 50 GHz Design and Optimization of a Novel 2.4 mm Coaxial Field Replaceable Connector Suitable for 25 Gbps System and Material Characterization up to 50 GHz Course Number: 13-WA4 David Dunham, Molex Inc. David.Dunham@molex.com

More information

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation June 7-10, 2009 San Diego, CA Optimization of Wafer Level Test Hardware using Signal Integrity Simulation Jason Mroczkowski Ryan Satrom Agenda Industry Drivers Wafer Scale Test Interface Simulation Simulation

More information

Keysight Technologies Signal Integrity Tips and Techniques Using TDR, VNA and Modeling

Keysight Technologies Signal Integrity Tips and Techniques Using TDR, VNA and Modeling Keysight Technologies Signal Integrity Tips and Techniques Using, VNA and Modeling Article Reprint This article first appeared in the March 216 edition of Microwave Journal. Reprinted with kind permission

More information

Z-PACK HS3 10 Row Vertical Plug to Right Angle Receptacle

Z-PACK HS3 10 Row Vertical Plug to Right Angle Receptacle ELECTRICAL PERFORMANCE REPORT Z-PACK HS3 10 Row Vertical Plug to Right Angle Receptacle Literature Number 1308506 Issued September, 2000 Copyright, Tyco Electronics Corporation All Rights reserved TABLE

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

1Gbps to 12.5Gbps Passive Equalizer for Backplanes and Cables

1Gbps to 12.5Gbps Passive Equalizer for Backplanes and Cables 19-46; Rev 2; 2/8 EVALUATION KIT AVAILABLE 1Gbps to 12.Gbps General Description The is a 1Gbps to 12.Gbps equalization network that compensates for transmission medium losses encountered with FR4 and cables.

More information

DesignCon East Feasibility of 40 to 50 Gbps NRZ Interconnect Design for Terabit Backplanes

DesignCon East Feasibility of 40 to 50 Gbps NRZ Interconnect Design for Terabit Backplanes DesignCon East 2005 Feasibility of 40 to 50 Gbps NRZ Interconnect Design for Terabit Backplanes Roger Weiss, Paricon Technologies Corporation President, RWeiss@paricon-tech.com Scott McMorrow, Teraspeed

More information

High Speed Characterization Report

High Speed Characterization Report QTH-030-01-L-D-A Mates with QSH-030-01-L-D-A Description: High Speed Ground Plane Header Board-to-Board, 0.5mm (.0197 ) Pitch, 5mm (.1969 ) Stack Height Samtec, Inc. 2005 All Rights Reserved Table of Contents

More information

H19- Reliable Serial Backplane Data Transmission at 10 Gb/s. January 30, 2002 Slide 1 of 24

H19- Reliable Serial Backplane Data Transmission at 10 Gb/s. January 30, 2002 Slide 1 of 24 H19- Reliable Serial Backplane Data Transmission at 10 Gb/s Slide 1 of 24 Evolution of the Interconnect F r e q u e n c y A c t i v e Channel Architecture Connectors Transmission Media Loss Properties

More information

QPairs QTE-DP/QSE-DP Final Inch Designs in Serial ATA Generation 1 Applications 5mm Stack Height. REVISION DATE: January 12, 2005

QPairs QTE-DP/QSE-DP Final Inch Designs in Serial ATA Generation 1 Applications 5mm Stack Height. REVISION DATE: January 12, 2005 Application Note QPairs QTE-DP/QSE-DP Final Inch Designs in Serial ATA Generation 1 Applications 5mm Stack Height REVISION DATE: January 12, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed

More information

High Speed Characterization Report

High Speed Characterization Report SSW-1XX-22-X-D-VS Mates with TSM-1XX-1-X-DV-X Description: Surface Mount Terminal Strip,.1 [2.54mm] Pitch, 13.59mm (.535 ) Stack Height Samtec, Inc. 25 All Rights Reserved Table of Contents Connector Overview...

More information

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity Slide -1 Ten Habits of Highly Successful Board Designers or Design for Speed: A Designer s Survival Guide to Signal Integrity with Dr. Eric Bogatin, Signal Integrity Evangelist, Bogatin Enterprises, www.bethesignal.com

More information

Practical Design Considerations for Dense, High-Speed, Differential Stripline PCB Routing Related to Bends, Meanders and Jog-outs

Practical Design Considerations for Dense, High-Speed, Differential Stripline PCB Routing Related to Bends, Meanders and Jog-outs Practical Design Considerations for Dense, High-Speed, Differential Stripline PCB Routing Related to Bends, Meanders and Jog-outs AUTHORS Michael J. Degerstrom, Mayo Clinic degerstrom.michael@mayo.edu

More information

High Speed Characterization Report

High Speed Characterization Report TCDL2-10-T-05.00-DP and TCDL2-10-T-10.00-DP Mated with: TMMH-110-04-X-DV and CLT-110-02-X-D Description: 2-mm Pitch Micro Flex Data Link Samtec, Inc. 2005 All Rights Reserved Table of Contents Introduction...1

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Caliber Interconnect Solutions

Caliber Interconnect Solutions Caliber Interconnect Solutions Design for perfection CASE STUDY DBFSP card and Optical card Transceivers Channels (through Backplane) Pre-Layout SI Report Caliber Interconnect Solutions (Pvt) Ltd No 6,1

More information

March 6-9, 2016 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive- Session 4

March 6-9, 2016 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive- Session 4 Proceedings Archive March 6-9, 2016 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive- Session 4 2016 BiTS Workshop Image: Stiop / Dollarphotoclub Proceedings Archive Presentation / Copyright Notice The

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Aries QFP microstrip socket

Aries QFP microstrip socket Aries QFP microstrip socket Measurement and Model Results prepared by Gert Hohenwarter 2/18/05 1 Table of Contents Table of Contents... 2 OBJECTIVE... 3 METHODOLOGY... 3 Test procedures... 4 Setup... 4

More information

High Data Rate Characterization Report

High Data Rate Characterization Report High Data Rate Characterization Report ERDP-013-39.37-TTR-STL-1-D Mated with: ERF8-013-05.0-S-DV-DL-L and ERM8-013-05.0-S-DV-DS-L Description: Edge Rate Twin-Ax Cable Assembly, 0.8mm Pitch Samtec, Inc.

More information

High Speed Characterization Report

High Speed Characterization Report QTE-020-02-L-D-A Mated With QSE-020-01-L-D-A Description: Parallel Board-to-Board, 0.8mm Pitch, 8mm (0.315 ) Stack Height Samtec, Inc. 2005 All Rights Reserved Table of Contents Connector Overview... 1

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction

More information

Aries Kapton CSP socket

Aries Kapton CSP socket Aries Kapton CSP socket Measurement and Model Results prepared by Gert Hohenwarter 5/19/04 1 Table of Contents Table of Contents... 2 OBJECTIVE... 3 METHODOLOGY... 3 Test procedures... 4 Setup... 4 MEASUREMENTS...

More information

NextGIn( Connec&on'to'the'Next'Level' Application note // DRAFT Fan-out 0,50mm stapitch BGA using VeCS. Joan Tourné NextGIn Technology BV

NextGIn( Connec&on'to'the'Next'Level' Application note // DRAFT Fan-out 0,50mm stapitch BGA using VeCS. Joan Tourné NextGIn Technology BV NextGIn( Connec&on'to'the'Next'Level' Application note // DRAFT Fan-out 0,50mm stapitch BGA using VeCS. Joan Tourné NextGIn Technology BV February 27 th 2017 In this document we describe the use of VeCS

More information

Validation Report Comparison of Eye Patterns Generated By Synopsys HSPICE and the Agilent PLTS

Validation Report Comparison of Eye Patterns Generated By Synopsys HSPICE and the Agilent PLTS Comparison of Eye Patterns Generated By Synopsys HSPICE and the Agilent PLTS Using: Final Inch Test/Eval Kit, Differential Pair - No Grounds Configuration, QTE-DP/QSE-DP, 5mm Stack Height (P/N FIK-QxE-04-01)

More information

SINCE the performance of personal computers (PCs) has

SINCE the performance of personal computers (PCs) has 334 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 5, MAY 2010 Multi-Slot Main Memory System for Post DDR3 Jaejun Lee, Sungho Lee, and Sangwook Nam, Member, IEEE Abstract This

More information

High Data Rate Characterization Report

High Data Rate Characterization Report High Data Rate Characterization Report EQCD-020-39.37-STR-TTL-1 EQCD-020-39.37-STR-TEU-2 Mated with: QTE-020-01-X-D-A and QSE-020-01-X-D-A Description: 0.8mm High-Speed Coax Cable Assembly Samtec, Inc.

More information

High Data Rate Characterization Report

High Data Rate Characterization Report High Data Rate Characterization Report VPSTP-016-1000-01 Mated with: VRDPC-50-01-M-RA and VRDPC-50-01-M-RA Description: Plug Shielded Twisted Pair Cable Assembly, 0.8mm Pitch Samtec, Inc. 2005 All Rights

More information

Approach for Probe Card PCB

Approach for Probe Card PCB San Diego, CA High Density and High Speed Approach for Probe Card PCB Takashi Sugiyama Hitachi Chemical Co. Ltd. Overview Technical trend for wafer level testing Requirement for high density and high speed

More information

Predicting and Controlling Common Mode Noise from High Speed Differential Signals

Predicting and Controlling Common Mode Noise from High Speed Differential Signals Predicting and Controlling Common Mode Noise from High Speed Differential Signals Bruce Archambeault, Ph.D. IEEE Fellow, inarte Certified Master EMC Design Engineer, Missouri University of Science & Technology

More information

A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs

A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs Presenter: Brian Shumaker DVT Solutions, LLC, 650-793-7083 b.shumaker@comcast.net

More information

Technology Overview. Blind Micro-vias. Embedded Resistors. Chip-on-flex. Multi-Tier Boards. RF Product. Multi-chip Modules. Embedded Capacitance

Technology Overview. Blind Micro-vias. Embedded Resistors. Chip-on-flex. Multi-Tier Boards. RF Product. Multi-chip Modules. Embedded Capacitance Blind Micro-vias Embedded Resistors Multi-Tier Boards Chip-on-flex RF Product Multi-chip Modules Embedded Capacitance Technology Overview Fine-line Technology Agenda Corporate Overview Company Profile

More information

Signal Technologies 1

Signal Technologies 1 Signal Technologies 1 Gunning Transceiver Logic (GTL) - evolution Evolved from BTL, the backplane transceiver logic, which in turn evolved from ECL (emitter-coupled logic) Setup of an open collector bus

More information

AltiumLive 2017: Creating Documentation for Successful PCB Manufacturing

AltiumLive 2017: Creating Documentation for Successful PCB Manufacturing AltiumLive 2017: Creating Documentation for Successful PCB Manufacturing Julie Ellis TTM Field Applications Engineer Thomas Schneider Field Applications Engineer 1 Agenda 1 Complexity & Cost 2 3 4 5 6

More information

High Speed Characterization Report

High Speed Characterization Report High Speed Characterization Report MMCX-P-P-H-ST-TH1 mated with MMCX-J-P-H-ST-TH1 MMCX-P-P-H-ST-MT1 mated with MMCX-J-P-H-ST-MT1 MMCX-P-P-H-ST-SM1 mated with MMCX-J-P-H-ST-SM1 MMCX-P-P-H-ST-EM1 mated with

More information

PRELIMINARY PRELIMINARY

PRELIMINARY PRELIMINARY Impedance Discontinuities of Right Angle Bends 90 degree, chamfered, and radial Augusto Panella Molex Incorporated Scott McMorrow SiQual, Inc. Introduction The results presented below are a portion of

More information

High Data Rate Characterization Report

High Data Rate Characterization Report High Data Rate Characterization Report EQRF-020-1000-T-L-SMA-P-1 Mated with: QSE-xxx-01-x-D-A and SMA-J-P-x-ST-TH1 Description: Cable Assembly, High Speed Coax, 0.8 mm Pitch Samtec, Inc. 2005 All Rights

More information

Taking the Mystery out of Signal Integrity

Taking the Mystery out of Signal Integrity Slide - 1 Jan 2002 Taking the Mystery out of Signal Integrity Dr. Eric Bogatin, CTO, GigaTest Labs Signal Integrity Engineering and Training 134 S. Wolfe Rd Sunnyvale, CA 94086 408-524-2700 www.gigatest.com

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

OIF CEI 6G LR OVERVIEW

OIF CEI 6G LR OVERVIEW OIF CEI 6G LR OVERVIEW Graeme Boyd, Yuriy Greshishchev T10 SAS-2 WG meeting, Houston, 25-26 May 2005 www.pmc-sierra.com 1 Outline! Why CEI-6G LR is of Interest to SAS-2?! CEI-6G- LR Specification Methodology!

More information

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug JEDEX 2003 Memory Futures (Track 2) High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

Effect of Power Noise on Multi-Gigabit Serial Links

Effect of Power Noise on Multi-Gigabit Serial Links Effect of Power Noise on Multi-Gigabit Serial Links Ken Willis (kwillis@sigrity.com) Kumar Keshavan (ckumar@sigrity.com) Jack Lin (jackwclin@sigrity.com) Tariq Abou-Jeyab (tariqa@sigrity.com) Sigrity Inc.,

More information

High Speed Characterization Report

High Speed Characterization Report HDLSP-035-2.00 Mated with: HDI6-035-01-RA-TR/HDC-035-01 Description: High Density/High Speed IO Cable Assembly Samtec, Inc. 2005 All Rights Reserved Table of Contents Introduction...1 Product Description...1

More information

100 Gb/s: The High Speed Connectivity Race is On

100 Gb/s: The High Speed Connectivity Race is On 100 Gb/s: The High Speed Connectivity Race is On Cathy Liu SerDes Architect, LSI Corporation Harold Gomard SerDes Product Manager, LSI Corporation October 6, 2010 Agenda 100 Gb/s Ethernet evolution SoC

More information

HOW SMALL PCB DESIGN TEAMS CAN SOLVE HIGH-SPEED DESIGN CHALLENGES WITH DESIGN RULE CHECKING MENTOR GRAPHICS

HOW SMALL PCB DESIGN TEAMS CAN SOLVE HIGH-SPEED DESIGN CHALLENGES WITH DESIGN RULE CHECKING MENTOR GRAPHICS HOW SMALL PCB DESIGN TEAMS CAN SOLVE HIGH-SPEED DESIGN CHALLENGES WITH DESIGN RULE CHECKING MENTOR GRAPHICS H I G H S P E E D D E S I G N W H I T E P A P E R w w w. p a d s. c o m INTRODUCTION Coping with

More information

FPGA World Conference Stockholm 08 September John Steinar Johnsen -Josse- Senior Technical Advisor

FPGA World Conference Stockholm 08 September John Steinar Johnsen -Josse- Senior Technical Advisor FPGA World Conference Stockholm 08 September 2015 John Steinar Johnsen -Josse- Senior Technical Advisor Agenda FPGA World Conference Stockholm 08 September 2015 - IPC 4101C Materials - Routing out from

More information

Where Did My Signal Go?

Where Did My Signal Go? Where Did My Signal Go? A Discussion of Signal Loss Between the ATE and UUT Tushar Gohel Mil/Aero STG Teradyne, Inc. North Reading, MA, USA Tushar.gohel@teradyne.com Abstract Automatic Test Equipment (ATE)

More information

IEEE CX4 Quantitative Analysis of Return-Loss

IEEE CX4 Quantitative Analysis of Return-Loss IEEE CX4 Quantitative Analysis of Return-Loss Aaron Buchwald & Howard Baumer Mar 003 Return Loss Issues for IEEE 0G-Base-CX4 Realizable Is the spec realizable with standard packages and I/O structures

More information

Trends in RF/Microwave & High Speed Digital and their effect on PCB Technology Requirements

Trends in RF/Microwave & High Speed Digital and their effect on PCB Technology Requirements Trends in RF/Microwave & High Speed Digital and their effect on PCB Technology Requirements Jim Francey Technical Service Manager The need for speed is satisfied by the delivery of high-speed broadband

More information

AN 672: Transceiver Link Design Guidelines for High- Gbps Data Rate Transmission

AN 672: Transceiver Link Design Guidelines for High- Gbps Data Rate Transmission AN 672: Transceiver Link Design Guidelines for High- Gbps Data Rate Transmission AN-672 2017.02.02 Subscribe Send Feedback Contents Contents 1 AN 672: Transceiver Link Design Guidelines for High-Gbps Data

More information

SERDES High-Speed I/O Implementation

SERDES High-Speed I/O Implementation SERDES High-Speed I/O Implementation FTF-NET-F0141 Jon Burnett Digital Networking Hardware A R P. 2 0 1 4 External Use Overview SerDes Background TX Equalization RX Equalization TX/RX Equalization optimization

More information

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab. High-Speed Circuits and Systems Laboratory B.M.Yu 1 Content 1. Introduction 2. Pre-emphasis 1. Amplitude pre-emphasis 2. Phase pre-emphasis 3. Circuit implantation 4. Result 5. Conclusion 2 Introduction

More information

PCB Trace Impedance: Impact of Localized PCB Copper Density

PCB Trace Impedance: Impact of Localized PCB Copper Density PCB Trace Impedance: Impact of Localized PCB Copper Density Gary A. Brist, Jeff Krieger, Dan Willis Intel Corp Hillsboro, OR Abstract Trace impedances are specified and controlled on PCBs as their nominal

More information

Intel 82566/82562V Layout Checklist (version 1.0)

Intel 82566/82562V Layout Checklist (version 1.0) Intel 82566/82562V Layout Checklist (version 1.0) Project Name Fab Revision Date Designer Intel Contact SECTION CHECK ITEMS REMARKS DONE General Ethernet Controller Obtain the most recent product documentation

More information

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits 1 ECEN 720 High-Speed Links: Circuits and Systems Lab6 Link Modeling with ADS Objective To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed

More information

DS80EP100 5 to 12.5 Gbps, Power-Saver Equalizer for Backplanes and Cables

DS80EP100 5 to 12.5 Gbps, Power-Saver Equalizer for Backplanes and Cables July 2007 5 to 12.5 Gbps, Power-Saver Equalizer for Backplanes and Cables General Description National s Power-saver equalizer compensates for transmission medium losses and minimizes medium-induced deterministic

More information

Flip-Chip for MM-Wave and Broadband Packaging

Flip-Chip for MM-Wave and Broadband Packaging 1 Flip-Chip for MM-Wave and Broadband Packaging Wolfgang Heinrich Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH) Berlin / Germany with contributions by F. J. Schmückle Motivation Growing markets

More information

/14/$ IEEE 939

/14/$ IEEE 939 Electro-Mechanical Structures for Channel Emulation Satyajeet Shinde #1, Sen Yang #2, Nicholas Erickson #3, David Pommerenke #4, Chong Ding *1, Douglas White *1, Stephen Scearce *1, Yaochao Yang *2 # Missouri

More information

POSSIBLE SUBSTITUTE PRODUCT HA-2842, HA-2544

POSSIBLE SUBSTITUTE PRODUCT HA-2842, HA-2544 OBSOLETE PRODUCT POSSIBLE SUBSTITUTE PRODUCT HA2842, HA2544 5MHz, Fast Settling, Unity Gain Stable, Video Operational Amplifier DATASHEET FN2843 Rev 4. The HA2841 is a wideband, unity gain stable, operational

More information

Advanced Transmission Lines. Transmission Line 1

Advanced Transmission Lines. Transmission Line 1 Advanced Transmission Lines Transmission Line 1 Transmission Line 2 1. Transmission Line Theory :series resistance per unit length in. :series inductance per unit length in. :shunt conductance per unit

More information

Guide to CMP-28/32 Simbeor Kit

Guide to CMP-28/32 Simbeor Kit Guide to CMP-28/32 Simbeor Kit CMP-28 Rev. 4, Sept. 2014 Simbeor 2013.03, Aug. 10, 2014 Simbeor : Easy-to-Use, Efficient and Cost-Effective Electromagnetic Software Introduction Design of PCB and packaging

More information

PART. Maxim Integrated Products 1

PART. Maxim Integrated Products 1 19-1999; Rev 4; 7/04 3.2Gbps Adaptive Equalizer General Description The is a +3.3V adaptive cable equalizer designed for coaxial and twin-axial cable point-to-point communications applications. The equalizer

More information

Myoung Joon Choi, Vishram S. Pandit Intel Corp.

Myoung Joon Choi, Vishram S. Pandit Intel Corp. Myoung Joon Choi, Vishram S. Pandit Intel Corp. IBIS Summit at DesignCon 2010 Acknowledgements: Woong Hwan Ryu, Joe Salmon Copyright 2010, Intel Corporation. All rights reserved. Need for SI/PI Co-analysis

More information

Plane Crazy, Part 2 BEYOND DESIGN. by Barry Olney

Plane Crazy, Part 2 BEYOND DESIGN. by Barry Olney by Barry Olney column BEYOND DESIGN Plane Crazy, Part 2 In my recent four-part series on stackup planning, I described the best configurations for various stackup requirements. But I did not have the opportunity

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

Design and experimental realization of the chirped microstrip line

Design and experimental realization of the chirped microstrip line Chapter 4 Design and experimental realization of the chirped microstrip line 4.1. Introduction In chapter 2 it has been shown that by using a microstrip line, uniform insertion losses A 0 (ω) and linear

More information

TABLE OF CONTENTS 1 Fundamentals Transmission Line Parameters... 29

TABLE OF CONTENTS 1 Fundamentals Transmission Line Parameters... 29 TABLE OF CONTENTS 1 Fundamentals... 1 1.1 Impedance of Linear, Time-Invariant, Lumped-Element Circuits... 1 1.2 Power Ratios... 2 1.3 Rules of Scaling... 5 1.3.1 Scaling of Physical Size... 6 1.3.1.1 Scaling

More information