Using GoldenGate to Verify and Improve Your Designs Using Real Signals

Size: px
Start display at page:

Download "Using GoldenGate to Verify and Improve Your Designs Using Real Signals"

Transcription

1 Using GoldenGate to Verify and Improve Your Designs Using Real Signals Enabling more complete understanding of your designs Agilent EEsof EDA 1

2 Outline What problems do designers face? Main point of this presentation What does GoldenGate enable you to do? Ways GoldenGate simulates modulated signals Examples Summary 2

3 What problems do designers face? Will my design meet specifications? What part of my design is causing the biggest degradation? Does varying a parameter improve performance? If so, which parameter and how much? 3

4 Main point of this presentation RFIC designs are under-characterized One- or two-tone simulations are useful, but not sufficient Need modulated signals for better understanding, more complete verification 4

5 What does GoldenGate enable you to do? Simulate modulated signals from Ptolemy or files, with correct characteristics bandwidth, peak-to-average power ratio, etc. Include interfering signals View specification-compliant results View performances at different points in your design Run simple simulations, quickly, for design investigation 5

6 Ways GoldenGate simulates modulated signals Virtual Test Benches Easiest if simulating WLAN a, b (WiFi), WMAN e (WiMax), TDSCDMA, or 3GPPFDD (WCDMA) Export sources and sinks from Agilent Ptolemy Numerous signals available 3GPP LTE, UWB, GSM, EDGE, DTV, etc. From.txt,.sig,.ascsig, or.wfm files. May be created with Agilent Signal Studio Generic modulated signals (QPSK, OQPSK, or pi/4 DQPSK) from the ENVELOPE source library in gglib 6

7 Advantages and disadvantages of each method Virtual Test Benches Easy setup, specification-compliant, results displayed automatically. Requires Ptolemy license. Exported sources and sinks Great flexibility, specificationcompliant. May need Agilent help to create, requires Ptolemy license. From file no license needed, but may only change carrier frequency and signal amplitude, limited post-processing. Generic modulated signals no license needed, but may not be specification compliant, limited post-processing. 7

8 Examples Power amplifier with WLAN signal LNA degradation due to noise, blocker Power amplifier with LTE signal Raw EVM and specification-compliant EVM of baseband chain Raw EVM of receiver (a predictor of BER) Raw EVM of transmitter versus variable gain amplifier gain setting 8

9 Power amplifier with WLAN signal (1) Simulation test bench Power amplifier subcircuit Spirals modeled using Momentum 9

10 How does Momentum help you in RFIC design? Create more accurate models than those in your PDK Create models for structures or components not in your PDK Check coupling effects due to adjacent structures in layout Use directly within the Cadence Virtuoso layout environment Integrate results with extracted parasitics from rest of layout Visualize current flow 10

11 Momentum capabilities and features Full-wave electromagnetic solver based on Method of Moments gives full dispersion and radiation Quasi-static EM solver for faster modeling of larger designs (more layout structures but small compared to wavelength) Fully integrated in Cadence Virtuoso layout environment Very efficient swept frequency analysis Includes sidewall coupling between thick metal traces Automated multi-threading dramatically speeds simulations when multiple CPUs available Comprehensive data display for viewing and post processing results 11

12 Example of multiple, coupled spirals Multiple spirals, including coupling Use S-parameter results in other simulations 5 minutes 10 secs. to simulate 0-50 GHz using 8 CPUs, via multithreading. 734 Mbytes. 12

13 Using Momentum components with Cadence Assura extraction tool Momentum component must be created without a reference pin Assura rules file (extract.rul) must be modified to define pinlayers and the geomconnect rule Momentum component must be defined as a blackbox to ensure the blackbox cell is extracted and keeps connectivity with the rest of the circuit 13

14 Overview of Momentum use model assuming what you want to simulate is already a cell Make Virtuoso cell a Momentum cell Simplify via arrays Define substrate stack up if not supplied in PDK Assign ports to pins in layout Check and/or set simulation options Run the simulation Automatically generate a model that may be used in other simulations 14

15 Will simulate spiral inductor from RFIC VCO VCO spiral inductor layout view 15

16 Create Momentum view from layout view (1) Select Tools> Momentum Creates Momentum-Virtuoso menu 16

17 Via arrays in momentum view should be simplified Via arrays 17

18 Simplifying via arrays flatten layout Select component and flatten it so it may be edited Select these options After executing, should be able to select individual via elements 18

19 Perform via simplification Select Pre-Processing > Perform Via Simplification These settings work well for this design Via arrays after simplification 19

20 Specify substrate file *.tch files define stack up in text file. *.ltd files may be used in Substrate Editor GUI. Use Substrate Editor to see or modify substrate materials or stack up 20

21 Define simulation frequencies Dots: actually simulated points Trace: calculated response Adaptive frequency sampling minimizes number of points required to accurately characterize frequency response. May add specified frequency points, also. 21

22 Define ports Auto-generate is easiest method One port is assigned to each pin in the layout 22

23 Specify simulation options RF mode should be faster if layout is electrically small. 3D-distributed includes horizontal sidewall currents Using Edge Mesh improves accuracy slightly, but problem size becomes much larger 2D-distributed used for vias because original via arrays would not have significant horizontal sidewall currents Recommended for relatively thick traces close to each other 23

24 Run simulation Substrate only has to be computed once Layout is electrically small below 429 GHz Multi-threading speeds up simulation automatically detects 8 CPUs 24

25 Simulation results Dots: actually simulated points Traces: responses calculated from Adaptive Frequency Sampling algorithm 25

26 Create simulator views to re-use results Creates symbol without reference pin Creates symbol(s) with and/or without reference pin New views created Symbol view inserted in a schematic 26

27 Computing L, R, and Q from the Momentum S- parameter model This is the simplest equivalent circuit model for computing Q. L R Q 27

28 Page 28 JivaroGG Key Features

29 Jivaro for GoldenGate What does it do? Jivaro for GG is a model (RC parasitics netlist) order reduction tool based on several different algorithms that reduce the order while keeping the accuracy within specified tolerances. Includes error control, that supervises the accuracy of the different algorithms. The default is very conservative leading to very accurate reduction up to highest frequencies. The default accuracy can easily be degraded by the user in order to gain higher reduction rate. Goals are: Get the same simulation results, but: Smaller memory footprint for GoldenGate simulation Enhance already best in class speed of GoldenGate Allows the maximum utilization of simulation resources and hardware 29

30 Qualifying statement for nodes definition What are internal or external nodes? For JivaroGG there are 2 types of nodes: External nodes: Primary ports (* P in dspf) and Instance ports (* I) Internal nodes The external nodes cannot be reduced, only internal nodes can. VDD Parasitic devices VDD VDD Non parasitic devices IN OUT IN OUT IN OUT VSS VSS VSS Primary port Instance port Internal node Schematic Layout RC extracted netlist Page 30

31 Graphical Interface A graphical interface to select the database view to reduce and to set JivaroGG reduction options is available under Analog Design Environment (Tools->Jivaro Parasitic Reducer ) Page 31

32 Reduction test cases Oscillator Receiver extracted reduced ratio extracted reduced ratio res % cap % ind Internal nodes % CPU: CR 50m 28s 17m 06s memory 4089M 1479M res % cap % ind Internal nodes % CPU: DC 4h 11m 1h 6m memory 4652M 3093M Page 32

33 Reduction test cases, continued Transmitter VCO extracted reduced ratio extracted reduced ratio res % cap % ind Internal nodes CPU:CR % 11h 37m memory??? 65863M res % cap % ind Internal nodes % CPU:CR 52m 51s 10m 49s 80% memory 3269M 394M 88% Page 33

34 Power amplifier with WLAN signal (2) Virtual Test Bench replaces source. Results taken from output node This is same schematic as SP, gain comp., IP3 simulations 34

35 Specify Virtual Test Bench parameters From ADE window Specify frequency, power, source filtering, measurement types, etc. 35

36 Automatically-generated results Out of specification Simulation takes only about 20 seconds to measure 3 frames of data! 36

37 Sweep modulated source power Simulation takes only about 2 mins. 10 seconds! 37

38 Examples 38

39 LNA degradation due to noise, blocker (1) Simulation test bench LNA subcircuit Spirals modeled using Momentum 39

40 Reducing input power degrades constellation, spectrum, and EVM 40

41 With blocker tone at input Sinusoidal blocker power and offset frequency may be set arbitrarily. May have multiple blockers. All these simulations use the same test bench. 41

42 Examples 42

43 Power amplifier simulation with LTE signal LTE source and sink components exported from ADS Ptolemy. 43

44 LTE source and sink parameters Source Sink All parameters are from original Ptolemy schematic. Modify as needed or use defaults. 44 May 19, 2009

45 LTE simulation outputs (1) 45

46 LTE simulation outputs (2) 46

47 Examples 47

48 Raw EVM of baseband chains I-channel baseband chain Baseband I in (t) I and Q modulation source Q in (t) I 1 (t) Q-channel baseband chain Q 1 (t) I 2 (t) Q 2 (t) Relatively fast simulation. Shows where and how much degradation occurs. Q in (t) I in (t) Q 1 (t) I 1 (t) Q 2 (t) I 2 (t) 1) If needed, correct for average gain, phase shift, and delay. 2) How well do vectors match input vector, at each time point? 48

49 Simulation test bench Analog filter with tunable bandwidth Baseband source exported from Ptolemy Voltage-controlled voltage sources enable differential signal with DC bias at input 49

50 Simulation outputs Specify reference (input) and test (output) vectors. Prior to adjusting time delay After adjusting time delay 50

51 EVM improvement after increasing filter bandwidth Simulation takes about 9 minutes 51

52 Specification-compliant EVM requires sink from Ptolemy Sink parameters Baseband source exported from Ptolemy Baseband sink exported from Ptolemy 52

53 Specification-compliant simulation outputs With original, too-narrow filter bandwidth 53

54 Comparing raw and specification-compliant EVMs Filter bandwidth= 7.77 MHz Filter bandwidth= MHz Raw EVM 18.8% 9.1% 9 minutes Simulation time (for each filter bandwidth setting) Specificationcompliant EVM % % 2 hours, 1 min., for three frames 54

55 Examples 55

56 Receiver simulation test bench DC offset cancellation circuit Variable gain amplifiers WLAN RF source exported from Ptolemy LN A LO signals generated from Noisecor files Tunable, analog lowpass filter 56

57 Calculated raw EVMs Test points raw EVM LNA output 3.3% Mixer outputs 3.5% DC offset cancellation circuit outputs 2.3% 1 st variable gain amplifier outputs 2.2% Tunable filter outputs 12.8% 2 nd variable gain amplifier outputs 12.4% Most degradation occurs in baseband low-pass filters. 100 usec. simulation takes 50 minutes. A BER simulation would take days. 57

58 Examples 58

59 How does EVM change at various points as VGA gain is adjusted? VGA gain versus control voltage I-channel baseband chain I 1 (t) I 2 (t) I in (t) Baseband I and Q mod. source Q-channel baseband chain RF in (t) Power RF in Amp RF out (t) Q in (t) RF out (t) RF in (t) Q in (t) Q 1 (t) Q 2 (t) I in (t) I 1 (t) I 2 (t) 59

60 Raw EVM table VGA Control Voltage Simulation time: 3 hours 59 minutes. Shorten by reducing number of swept values. Filter Outputs VGA Outputs Mixer Outputs Power Amp. output % 13.1% 13.1% 12.9% % 18.0% 18.2% 17.5% % 20.6% 21.3% 19.3% % 18.1% 19.3% 18.2% % 13.5% 13.6% 11.8% % 10.7% 9.7% 16.2% Filter contribution to EVM is roughly constant VGA contribution to EVM varies a lot Power Amp only contributes to EVM when VGA gain is at its maximum 60

61 RF/Mixed-Signal Verification Transient / Verilog-AMS Co-sim Problem: RF and mixed-signal blocks are simulated separately today PA with digital control / linearization AGC, PLL DAC Digital Filtering Solution: Transient / Verilog-AMS Co-sim Simulate a combination of RF transistor level blocks and digital/mixed-signal blocks Full AMS support via co-simulation with a 3rd party digital simulator (ModelSim, NCsim) New GoldenGate Verilog-AMS Co-sim Module Future: Envelope / Verilog-AMS Co-sim (2009) Digital Simulator Page 61

62 RF/Mixed-Signal Verification Digital State Sweeps Digital Control Circuitry RF Circuitry Problem: increasing use of digital control circuits driving RF circuits Today, digital control circuits are replaced by idealized sources for RF simulation Simulations are manual, error-prone Solution: Digital State Sweeps Use VCD files from digital simulations to automatically drive RF simulations Quickly sweep through all control states Discover mixed-signal interface problems earlier in the development process Digital Simulation VCD File RF Simulation DSF File Page 62 Agilent Restricted

63 Digital State Sweep Example -- Variable Gain Amp Swept Gain at 16 digital control states Control voltage vs. control state Gain vs control voltage Page 63 Agilent Restricted

64 GoldenGate DFY Tools & Capabilities Fundamental DFY Capabilities Corners, Monte Carlo Correlation Analysis Yield Analysis Block Specific Statistical Variation Trial Rerun Advanced Sampling Algorithms Latin Hypercube Sampling Hammersly Sequence Sampling Boundary Mode Orthogonal Arrays Parallel Simulation Tools Job Manager Parallel Monte Carlo Controller Quad Pack Licensing Parallel MC/Corner Licensing Variable #2 x x x x x x x x x x x x x x x Variable #1 Page 64

65 GoldenGate Advanced Monte Carlo Modes Variable #2 Monte Carlo x x xx x x x x xxx xxxx x xx xxx xx xx x x x xxxx x x x xx xx x x Variable #2 Quasi Monte Carlo (LHS, HSS) x x x x x x x x x x x x x x x Variable #1 Variable #1 Corners Boundary Boundary Orthogonal Array Variable #2 x x x x Variable #2 x x x x x x x x x x x x x Variable #2 x x x x x x Variable #1 Variable #1 Variable #1 Page 65

66 Q Monte Carlo Controller (QMCC) Graphical cockpit to control parallel Monte Carlo and Corners More efficient dispatch based mechanism reduces idle time Supports LSF, Grid Engine, Local - ability to inspect and load balance Page 66

67 Summary GoldenGate enables you to: Use modulated signals for both design and verification Use raw EVM to quickly predict performance and find problem areas Run specification-compliant simulations for verification 67

When Should You Apply 3D Planar EM Simulation?

When Should You Apply 3D Planar EM Simulation? When Should You Apply 3D Planar EM Simulation? Agilent EEsof EDA IMS 2010 MicroApps Andy Howard Agilent Technologies 1 3D planar EM is now much more of a design tool Solves bigger problems and runs faster

More information

Bridging the Gap between System & Circuit Designers

Bridging the Gap between System & Circuit Designers Bridging the Gap between System & Circuit Designers October 27, 2004 Presented by: Kal Kalbasi Q & A Marc Petersen Copyright 2003 Agilent Technologies, Inc. The Gap System Communication System Design System

More information

Efficiently simulating a direct-conversion I-Q modulator

Efficiently simulating a direct-conversion I-Q modulator Efficiently simulating a direct-conversion I-Q modulator Andy Howard Applications Engineer Agilent Eesof EDA Overview An I-Q or vector modulator is a commonly used integrated circuit in communication systems.

More information

Final Circuit & System Simulation - with Optional

Final Circuit & System Simulation - with Optional Final Circuit & System Simulation - with Optional Co-Simulation Slide 9-1 What is the final topic in this class? Simulation of your amp_1900 and filters in the receiver system to verify analog performance.

More information

Complete RF And Microwave Design Flow with AWR Design Environment. Tabish Khan, AWR Corporation

Complete RF And Microwave Design Flow with AWR Design Environment. Tabish Khan, AWR Corporation Complete RF And Microwave Design Flow with AWR Design Environment Tabish Khan, AWR Corporation Traditional Serial Design Flow Separate tools, user interfaces, netlists and databases System Design Design

More information

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011 Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design Sonnet Application Note: SAN-201B July 2011 Description of Sonnet Suites Professional Sonnet Suites Professional is an industry leading full-wave

More information

RF Board Design for Next Generation Wireless Systems

RF Board Design for Next Generation Wireless Systems RF Board Design for Next Generation Wireless Systems Page 1 Introduction Purpose: Provide basic background on emerging WiMax standard Introduce a new tool for Genesys that will aide in the design and verification

More information

Modeling Physical PCB Effects 5&

Modeling Physical PCB Effects 5& Abstract Getting logical designs to meet specifications is the first step in creating a manufacturable design. Getting the physical design to work is the next step. The physical effects of PCB materials,

More information

Innovations in EDA Webcast Series

Innovations in EDA Webcast Series Welcome Innovations in EDA Webcast Series August 2, 2012 Jack Sifri MMIC Design Flow Specialist IC, Laminate, Package Multi-Technology PA Module Design Methodology Realizing the Multi-Technology Vision

More information

Front-To-Back MMIC Design Flow with ADS. Speed MMICs to market Save money and achieve high yield

Front-To-Back MMIC Design Flow with ADS. Speed MMICs to market Save money and achieve high yield Front-To-Back MMIC Design Flow with ADS Speed MMICs to market Save money and achieve high yield 1 Unique Tools for Robust Designs, First Pass, and High Yield Yield Sensitivity Histogram (YSH) to components

More information

Satellite Tuner Single Chip Simulation with Advanced Design System

Satellite Tuner Single Chip Simulation with Advanced Design System Turning RF IC technology into successful design Satellite Tuner Single Chip Simulation with Advanced Design System Cédric Pujol - Central R&D March 2002 STMicroelectronics Outline ❽ STMicroelectronics

More information

Direct-Conversion I-Q Modulator Simulation by Andy Howard, Applications Engineer Agilent EEsof EDA

Direct-Conversion I-Q Modulator Simulation by Andy Howard, Applications Engineer Agilent EEsof EDA Direct-Conversion I-Q Modulator Simulation by Andy Howard, Applications Engineer Agilent EEsof EDA Introduction This article covers an Agilent EEsof ADS example that shows the simulation of a directconversion,

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

22 Marzo 2012 IFEMA, Madrid spain.ni.com/nidays.

22 Marzo 2012 IFEMA, Madrid spain.ni.com/nidays. 22 Marzo 2012 IFEMA, Madrid spain.ni.com/nidays www.infoplc.net The Art of Benchmarking Speed PXI Versus Rack-and-Stack Test Equipment Filippo Persia Systems Engineer Automated Test Mediterranean Region

More information

Welcome. Steven Baker Founder & Director OpenET Alliance. Andy Howard Senior Application Specialist Agilent EEsof EDA Agilent Technologies, Inc.

Welcome. Steven Baker Founder & Director OpenET Alliance. Andy Howard Senior Application Specialist Agilent EEsof EDA Agilent Technologies, Inc. Welcome Steven Baker Founder & Director OpenET Alliance Andy Howard Senior Application Specialist Agilent EEsof EDA 1 Outline Steven Baker, OpenET Alliance What problem are we trying to solve? What is

More information

Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc.

Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc. February 2014 Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc. Low Noise Amplifiers (LNAs) amplify weak signals received by the antenna in communication systems.

More information

The wireless industry

The wireless industry From May 2007 High Frequency Electronics Copyright Summit Technical Media, LLC RF SiP Design Verification Flow with Quadruple LO Down Converter SiP By HeeSoo Lee and Dean Nicholson Agilent Technologies

More information

ADI 2006 RF Seminar. Chapter II RF/IF Components and Specifications for Receivers

ADI 2006 RF Seminar. Chapter II RF/IF Components and Specifications for Receivers ADI 2006 RF Seminar Chapter II RF/IF Components and Specifications for Receivers 1 RF/IF Components and Specifications for Receivers Fixed Gain and Variable Gain Amplifiers IQ Demodulators Analog-to-Digital

More information

Packaged mm-wave GaN, GaAs and Si ICs for 5G and automotive radar

Packaged mm-wave GaN, GaAs and Si ICs for 5G and automotive radar Packaged mm-wave GaN, GaAs and Si ICs for 5G and automotive radar Eric Leclerc UMS 1 st Nov 2018 Outline Why heterogenous integration? About UMS Technology portfolio Design tooling: Cadence / GoldenGate

More information

Modeling Your Systems in ADS

Modeling Your Systems in ADS Modeling Your Systems in ADS Challenges for Aerospace and Defense Applications Custom signal formats required for design & testing Bring user s IP in ADS Unique signal processing Evaluating and Modeling

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

Keysight Technologies Understanding the SystemVue To ADS Simulation Bridge. Application Note

Keysight Technologies Understanding the SystemVue To ADS Simulation Bridge. Application Note Keysight Technologies Understanding the To Simulation Bridge Application Note Introduction The Keysight Technologies, Inc. is a new system-level design environment that enables a top-down, model-based

More information

Advanced Design System - Fundamentals. Mao Wenjie

Advanced Design System - Fundamentals. Mao Wenjie Advanced Design System - Fundamentals Mao Wenjie wjmao@263.net Main Topics in This Class Topic 1: ADS and Circuit Simulation Introduction Topic 2: DC and AC Simulations Topic 3: S-parameter Simulation

More information

LTE: System Specifications and Their Impact on RF & Base Band Circuits Application Note

LTE: System Specifications and Their Impact on RF & Base Band Circuits Application Note LTE: System Specifications and Their Impact on RF & Base Band Circuits Application Note Products: R&S FSW R&S SMU R&S SFU R&S FSV R&S SMJ R&S FSUP RF physical layer specifications (such as 3GPP TS36.104)

More information

TSEK38 Radio Frequency Transceiver Design: Project work B

TSEK38 Radio Frequency Transceiver Design: Project work B TSEK38 Project Work: Task specification A 1(15) TSEK38 Radio Frequency Transceiver Design: Project work B Course home page: Course responsible: http://www.isy.liu.se/en/edu/kurs/tsek38/ Ted Johansson (ted.johansson@liu.se)

More information

Evaluation of Package Properties for RF BJTs

Evaluation of Package Properties for RF BJTs Application Note Evaluation of Package Properties for RF BJTs Overview EDA simulation software streamlines the development of digital and analog circuits from definition of concept and estimation of required

More information

A 1.9GHz Single-Chip CMOS PHS Cellphone

A 1.9GHz Single-Chip CMOS PHS Cellphone A 1.9GHz Single-Chip CMOS PHS Cellphone IEEE JSSC, Vol. 41, No.12, December 2006 William Si, Srenik Mehta, Hirad Samavati, Manolis Terrovitis, Michael Mack, Keith Onodera, Steve Jen, Susan Luschas, Justin

More information

Simulation for 5G New Radio System Design and Verification

Simulation for 5G New Radio System Design and Verification Simulation for 5G New Radio System Design and Verification WHITE PAPER The Challenge of the First Commercial 5G Service Deployment The 3rd Generation Partnership Project (3GPP) published its very first

More information

Multi-Signal, Multi-Format Analysis With Agilent VSA Software

Multi-Signal, Multi-Format Analysis With Agilent VSA Software Multi-Signal, Multi-Format Analysis With Agilent 89600 VSA Software Ken Voelker Agilent Technologies Inc. April 2012 1 April, 25 2012 Agenda Introduction: New Measurement Challenges Multi-Measurements

More information

Receiver Architecture

Receiver Architecture Receiver Architecture Receiver basics Channel selection why not at RF? BPF first or LNA first? Direct digitization of RF signal Receiver architectures Sub-sampling receiver noise problem Heterodyne receiver

More information

26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone

26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone 26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone William W. Si, Srenik Mehta, Hirad Samavati, Manolis Terrovitis, Michael Mack, KeithOnodera, SteveJen, Susan Luschas, Justin Hwang, SuniMendis, DavidSu, BruceWooley

More information

Fundamentals of RF Design RF Back to Basics 2015

Fundamentals of RF Design RF Back to Basics 2015 Fundamentals of RF Design 2015 Updated January 1, 2015 Keysight EEsof EDA Objectives Review Simulation Types Understand fundamentals on S-Parameter Simulation Additional Linear and Non-Linear Simulators

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

TSEK02: Radio Electronics Lecture 8: RX Nonlinearity Issues, Demodulation. Ted Johansson, EKS, ISY

TSEK02: Radio Electronics Lecture 8: RX Nonlinearity Issues, Demodulation. Ted Johansson, EKS, ISY TSEK02: Radio Electronics Lecture 8: RX Nonlinearity Issues, Demodulation Ted Johansson, EKS, ISY RX Nonlinearity Issues: 2.2, 2.4 Demodulation: not in the book 2 RX nonlinearities System Nonlinearity

More information

Appendix. RF Transient Simulator. Page 1

Appendix. RF Transient Simulator. Page 1 Appendix RF Transient Simulator Page 1 RF Transient/Convolution Simulation This simulator can be used to solve problems associated with circuit simulation, when the signal and waveforms involved are modulated

More information

Multilayer VIA simulations using ADS Anurag Bhargava, Application Consultant, Agilent EEsof EDA, Agilent Technologies

Multilayer VIA simulations using ADS Anurag Bhargava, Application Consultant, Agilent EEsof EDA, Agilent Technologies Multilayer VIA simulations using ADS Anurag Bhargava, Application Consultant, Agilent EEsof EDA, Agilent Technologies Many a time designers find themselves in pretty confusing start when it comes to simulating

More information

Leveraging High-Accuracy Models to Achieve First Pass Success in Power Amplifier Design

Leveraging High-Accuracy Models to Achieve First Pass Success in Power Amplifier Design Application Note Leveraging High-Accuracy Models to Achieve First Pass Success in Power Amplifier Design Overview Nonlinear transistor models enable designers to concurrently optimize gain, power, efficiency,

More information

Project Title: 60GHz CMOS Radio

Project Title: 60GHz CMOS Radio Project Title: 60GHz CMOS Radio Prepared By: Efstratios (Stan) Skafidas (Supervisor) and Ph.D. students :Jerry Liu, Chang (Aleck) Liu, Byron Wicks,Gordana Felic, Chien Ma Tien, Bo Yang, Yu Feng, Yuan Mo,

More information

Reinventing the Transmit Chain for Next-Generation Multimode Wireless Devices. By: Richard Harlan, Director of Technical Marketing, ParkerVision

Reinventing the Transmit Chain for Next-Generation Multimode Wireless Devices. By: Richard Harlan, Director of Technical Marketing, ParkerVision Reinventing the Transmit Chain for Next-Generation Multimode Wireless Devices By: Richard Harlan, Director of Technical Marketing, ParkerVision Upcoming generations of radio access standards are placing

More information

TSEK02: Radio Electronics Lecture 8: RX Nonlinearity Issues, Demodulation. Ted Johansson, EKS, ISY

TSEK02: Radio Electronics Lecture 8: RX Nonlinearity Issues, Demodulation. Ted Johansson, EKS, ISY TSEK02: Radio Electronics Lecture 8: RX Nonlinearity Issues, Demodulation Ted Johansson, EKS, ISY 2 RX Nonlinearity Issues, Demodulation RX nonlinearities (parts of 2.2) System Nonlinearity Sensitivity

More information

Testing RFIC Power Amplifiers with Envelope Tracking. April 2014

Testing RFIC Power Amplifiers with Envelope Tracking. April 2014 Testing RFIC Power Amplifiers with Envelope Tracking April 2014 1 Agenda Key Test Challenges Addressing Test Challenges New emerging technologies such as envelope tracking and DPD and their implications

More information

A 1MHz-64MHz Active RC TI-LPF with Variable Gain for SDR Receiver in 65-nm CMOS

A 1MHz-64MHz Active RC TI-LPF with Variable Gain for SDR Receiver in 65-nm CMOS 2017 5th International Conference on Computer, Automation and Power Electronics (CAPE 2017) A 1MHz-64MHz Active RC TI-LPF with Variable Gain for SDR Receiver in 65-nm CMOS Chaoxuan Zhang1, a, *, Xunping

More information

LOW COST PHASED ARRAY ANTENNA TRANSCEIVER FOR WPAN APPLICATIONS

LOW COST PHASED ARRAY ANTENNA TRANSCEIVER FOR WPAN APPLICATIONS LOW COST PHASED ARRAY ANTENNA TRANSCEIVER FOR WPAN APPLICATIONS Introduction WPAN (Wireless Personal Area Network) transceivers are being designed to operate in the 60 GHz frequency band and will mainly

More information

RFIC Design ELEN 351 Lecture 2: RFIC Architectures

RFIC Design ELEN 351 Lecture 2: RFIC Architectures RFIC Design ELEN 351 Lecture 2: RFIC Architectures Instructor: Dr. Allen Sweet Copy right 2003 ELEN 351 1 RFIC Architectures Modulation Choices Receiver Architectures Transmitter Architectures VCOs, Phase

More information

From Antenna to Bits:

From Antenna to Bits: From Antenna to Bits: Wireless System Design with MATLAB and Simulink Cynthia Cudicini Application Engineering Manager MathWorks cynthia.cudicini@mathworks.fr 1 Innovations in the World of Wireless Everything

More information

Designing with 4G Modulated Signals for Optimized Multi-standard Transceiver ICs

Designing with 4G Modulated Signals for Optimized Multi-standard Transceiver ICs Designing with 4G Modulated Signals for Optimized Multi-standard Transceiver ICs Andy Howard RFIC Application Development Engineer Agilent EEsof Juergen Hartung RFIC Product Planning & Foundry Program

More information

PGT313 Digital Communication Technology. Lab 3. Quadrature Phase Shift Keying (QPSK) and 8-Phase Shift Keying (8-PSK)

PGT313 Digital Communication Technology. Lab 3. Quadrature Phase Shift Keying (QPSK) and 8-Phase Shift Keying (8-PSK) PGT313 Digital Communication Technology Lab 3 Quadrature Phase Shift Keying (QPSK) and 8-Phase Shift Keying (8-PSK) Objectives i) To study the digitally modulated quadrature phase shift keying (QPSK) and

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

Ansys Designer RF Training Lecture 3: Nexxim Circuit Analysis for RF

Ansys Designer RF Training Lecture 3: Nexxim Circuit Analysis for RF Ansys Designer RF Solutions for RF/Microwave Component and System Design 7. 0 Release Ansys Designer RF Training Lecture 3: Nexxim Circuit Analysis for RF Designer Overview Ansoft Designer Advanced Design

More information

System Design Fundamentals

System Design Fundamentals System Design Fundamentals Slide 2-1 BEFORE starting with system design...some details on the ADS Main window: Main Window: File or Project View VS Right Click More on Main... Slide 2-2 BEFORE starting

More information

Design, Optimization and Production of an Ultra-Wideband (UWB) Receiver

Design, Optimization and Production of an Ultra-Wideband (UWB) Receiver Application Note Design, Optimization and Production of an Ultra-Wideband (UWB) Receiver Overview This application note describes the design process for an ultra-wideband (UWB) receiver, including both

More information

Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design. by Dr. Stephen Long University of California, Santa Barbara

Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design. by Dr. Stephen Long University of California, Santa Barbara Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design by Dr. Stephen Long University of California, Santa Barbara It is not easy to design an RFIC mixer. Different, sometimes conflicting,

More information

Introduction to Envelope Tracking. G J Wimpenny Snr Director Technology, Qualcomm UK Ltd

Introduction to Envelope Tracking. G J Wimpenny Snr Director Technology, Qualcomm UK Ltd Introduction to Envelope Tracking G J Wimpenny Snr Director Technology, Qualcomm UK Ltd Envelope Tracking Historical Context EER first proposed by Leonard Kahn in 1952 to improve efficiency of SSB transmitters

More information

Windfreak Technologies SynthHD v1.4 Preliminary Data Sheet v0.2b

Windfreak Technologies SynthHD v1.4 Preliminary Data Sheet v0.2b Windfreak Technologies SynthHD v1.4 Preliminary Data Sheet v0.2b $1299.00US 54 MHz 13.6 GHz Dual Channel RF Signal Generator Features Open source Labveiw GUI software control via USB Run hardware functions

More information

Technical Article A DIRECT QUADRATURE MODULATOR IC FOR 0.9 TO 2.5 GHZ WIRELESS SYSTEMS

Technical Article A DIRECT QUADRATURE MODULATOR IC FOR 0.9 TO 2.5 GHZ WIRELESS SYSTEMS Introduction As wireless system designs have moved from carrier frequencies at approximately 9 MHz to wider bandwidth applications like Personal Communication System (PCS) phones at 1.8 GHz and wireless

More information

Using a design-to-test capability for LTE MIMO (Part 1 of 2)

Using a design-to-test capability for LTE MIMO (Part 1 of 2) Using a design-to-test capability for LTE MIMO (Part 1 of 2) System-level simulation helps engineers gain valuable insight into the design sensitivities of Long Term Evolution (LTE) Multiple-Input Multiple-Output

More information

Application of PC Vias to Configurable RF Circuits

Application of PC Vias to Configurable RF Circuits Application of PC Vias to Configurable RF Circuits March 24, 2008 Prof. Jeyanandh Paramesh Department of Electrical and Computer Engineering Carnegie Mellon University Pittsburgh, PA 15213 Ultimate Goal:

More information

ADS-SystemVue Linkages

ADS-SystemVue Linkages ADS-SystemVue Linkages Uniting System, Baseband, and RF design flows for leading-edge designs Superior RF models and simulators Convenient, polymorphic algorithmic modeling, debug, and test May 2010 Page

More information

Making Noise in RF Receivers Simulate Real-World Signals with Signal Generators

Making Noise in RF Receivers Simulate Real-World Signals with Signal Generators Making Noise in RF Receivers Simulate Real-World Signals with Signal Generators Noise is an unwanted signal. In communication systems, noise affects both transmitter and receiver performance. It degrades

More information

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4 33.4 A Dual-Channel Direct-Conversion CMOS Receiver for Mobile Multimedia Broadcasting Vincenzo Peluso, Yang Xu, Peter Gazzerro, Yiwu Tang, Li Liu, Zhenbiao Li, Wei Xiong, Charles Persico Qualcomm, San

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 20.5 A 2.4GHz CMOS Transceiver and Baseband Processor Chipset for 802.11b Wireless LAN Application George Chien, Weishi Feng, Yungping

More information

Questa ADMS supports all three major methodologies for mixed-signal verification:

Questa ADMS supports all three major methodologies for mixed-signal verification: Analog-Digital Mixed-Signal Verification Questa ADMS Analog/Mixed-Signal Verification D A T A S H E E T FEATURES AND BENEFITS: Questa ADMS is the de facto industry standard for the creation and verification

More information

Agilent EEsof EDA. Enabling First Pass Success. Chee Keong, Teo Business Development Manager EEsof South Asia. Agilent Restricted

Agilent EEsof EDA. Enabling First Pass Success. Chee Keong, Teo Business Development Manager EEsof South Asia. Agilent Restricted Agilent EEsof EDA Enabling First Pass Success Chee Keong, Teo Business Development Manager EEsof South Asia EEsof EDA is Strategic to Agilent Technologies As the world s premier measurement company, Agilent

More information

--- An integrated 3D EM design flow for EM/Circuit Co-Design

--- An integrated 3D EM design flow for EM/Circuit Co-Design ADS users group meeting 2009 Rome 13/05, Böblingen 14-15/05, Massy 16/06 --- An integrated 3D EM design flow for EM/Circuit Co-Design Motivations and drivers for co-design Throw-The-Die-Over-The-Wall,

More information

EDA Toolsets for RF Design & Modeling

EDA Toolsets for RF Design & Modeling Yiannis Moisiadis, Errikos Lourandakis, Sotiris Bantas Helic, Inc. 101 Montgomery str., suite 1950 San Fransisco, CA 94104, USA Email: {moisiad, lourandakis, s.bantas}@helic.com Abstract This paper presents

More information

2015 The MathWorks, Inc. 1

2015 The MathWorks, Inc. 1 2015 The MathWorks, Inc. 1 What s Behind 5G Wireless Communications? 서기환과장 2015 The MathWorks, Inc. 2 Agenda 5G goals and requirements Modeling and simulating key 5G technologies Release 15: Enhanced Mobile

More information

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED www.analog.com www.hittite.com THIS PAGE INTENTIONALLY LEFT BLANK 17 Product Application Notes Introduction

More information

PXI LTE/LTE-A Downlink (FDD and TDD) Measurement Suite Data Sheet

PXI LTE/LTE-A Downlink (FDD and TDD) Measurement Suite Data Sheet PXI LTE/LTE-A Downlink (FDD and TDD) Measurement Suite Data Sheet The most important thing we build is trust Designed for the production test of the base station RF, tailored for the evolving small cell

More information

AWR. SIP Flow White Paper UNDERSTANDING AVAILABLE TOOLS FOR RF SYSTEM-IN-PACKAGE AND MULTI-CHIP-MODULE DESIGN AND OPTIMIZATION

AWR. SIP Flow White Paper UNDERSTANDING AVAILABLE TOOLS FOR RF SYSTEM-IN-PACKAGE AND MULTI-CHIP-MODULE DESIGN AND OPTIMIZATION UNDERSTANDING AVAILABLE TOOLS FOR RF SYSTEM-IN-PACKAGE AND MULTI-CHIP-MODULE DESIGN AND OPTIMIZATION RF system-in-package (SiP) and multi-chip-module (MCM) designs present engineers with the challenge

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

Keywords: ISM, RF, transmitter, short-range, RFIC, switching power amplifier, ETSI

Keywords: ISM, RF, transmitter, short-range, RFIC, switching power amplifier, ETSI Maxim > Design Support > Technical Documents > Application Notes > Wireless and RF > APP 4929 Keywords: ISM, RF, transmitter, short-range, RFIC, switching power amplifier, ETSI APPLICATION NOTE 4929 Adapting

More information

Linear networks analysis

Linear networks analysis Linear networks analysis For microwave linear networks analysis is performed in frequency domain. The analysis is based on the evaluation of the scattering matrix of the n port network From S matrix all

More information

Verification of the RF Subsystem within Wireless LAN System Level Simulation

Verification of the RF Subsystem within Wireless LAN System Level Simulation Verification of the RF Subsystem within Wireless LAN System Level Simulation Uwe Knöchel Thomas Markwirth Fraunhofer IIS, Dept. EAS Dresden, Germany uwe.knoechel@eas.iis.fhg.de Jürgen Hartung Cadence Design

More information

A balancing act: Envelope Tracking and Digital Pre-Distortion in Handset Transmitters

A balancing act: Envelope Tracking and Digital Pre-Distortion in Handset Transmitters Abstract Envelope tracking requires the addition of another connector to the RF power amplifier. Providing this supply modulation input leads to many possibilities for improving the performance of the

More information

Wireless Communication Systems Laboratory Lab #3: Introduction to wireless front-end

Wireless Communication Systems Laboratory Lab #3: Introduction to wireless front-end Objective Wireless Communication Systems Laboratory Lab #3: Introduction to wireless front-end The objective of this experiment is to study hardware components which are commonly used in most of the wireless

More information

mm-wave Transceiver Challenges for the 5G and 60GHz Standards Prof. Emanuel Cohen Technion

mm-wave Transceiver Challenges for the 5G and 60GHz Standards Prof. Emanuel Cohen Technion mm-wave Transceiver Challenges for the 5G and 60GHz Standards Prof. Emanuel Cohen Technion November 11, 11, 2015 2015 1 mm-wave advantage Why is mm-wave interesting now? Available Spectrum 7 GHz of virtually

More information

Today s mobile devices

Today s mobile devices PAGE 1 NOVEMBER 2013 Highly Integrated, High Performance Microwave Radio IC Chipsets cover 6-42 GHz Bands Complete Upconversion & Downconversion Chipsets for Microwave Point-to-Point Outdoor Units (ODUs)

More information

Course Project Topic: RF Down-Conversion Chain Due Dates: Mar. 24, Apr. 7 (Interim reports), Apr. 28 (Final report)

Course Project Topic: RF Down-Conversion Chain Due Dates: Mar. 24, Apr. 7 (Interim reports), Apr. 28 (Final report) Course Project Topic: RF Down-Conversion Chain Due Dates: Mar. 24, Apr. 7 (Interim reports), Apr. 28 (Final report) 1 Objective The objective of this project is to familiarize the student with the trade-offs

More information

Keysight Technologies 8 Hints for Making Better Measurements Using RF Signal Generators. Application Note

Keysight Technologies 8 Hints for Making Better Measurements Using RF Signal Generators. Application Note Keysight Technologies 8 Hints for Making Better Measurements Using RF Signal Generators Application Note 02 Keysight 8 Hints for Making Better Measurements Using RF Signal Generators - Application Note

More information

IREAP. MURI 2001 Review. John Rodgers, T. M. Firestone,V. L. Granatstein, M. Walter

IREAP. MURI 2001 Review. John Rodgers, T. M. Firestone,V. L. Granatstein, M. Walter MURI 2001 Review Experimental Study of EMP Upset Mechanisms in Analog and Digital Circuits John Rodgers, T. M. Firestone,V. L. Granatstein, M. Walter Institute for Research in Electronics and Applied Physics

More information

Agilent AN 1275 Automatic Frequency Settling Time Measurement Speeds Time-to-Market for RF Designs

Agilent AN 1275 Automatic Frequency Settling Time Measurement Speeds Time-to-Market for RF Designs Agilent AN 1275 Automatic Frequency Settling Time Measurement Speeds Time-to-Market for RF Designs Application Note Fast, accurate synthesizer switching and settling are key performance requirements in

More information

6.976 High Speed Communication Circuits and Systems Lecture 20 Performance Measures of Wireless Communication

6.976 High Speed Communication Circuits and Systems Lecture 20 Performance Measures of Wireless Communication 6.976 High Speed Communication Circuits and Systems Lecture 20 Performance Measures of Wireless Communication Michael Perrott Massachusetts Institute of Technology Copyright 2003 by Michael H. Perrott

More information

Lab 6 Prelab Grading Sheet

Lab 6 Prelab Grading Sheet Lab 6 Prelab Grading Sheet NAME: Read through the Background section of this lab and print the prelab and in-lab grading sheets. Then complete the steps below and fill in the Prelab 6 Grading Sheet. You

More information

RF System Design and Analysis Software Enhances RF Architectural Planning

RF System Design and Analysis Software Enhances RF Architectural Planning RF System Design and Analysis Software Enhances RF Architectural Planning By Dale D. Henkes Applied Computational Sciences (ACS) Historically, commercial software This new software enables convenient simulation

More information

Wireless Communication Systems Lab-Manual-3 Introduction to Wireless Front End. Objective

Wireless Communication Systems Lab-Manual-3 Introduction to Wireless Front End. Objective Wireless Communication Systems Lab-Manual-3 Introduction to Wireless Front End Objective The objective of this experiment is to study hardware components which are commonly used in most of the wireless

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Course Project Topic: RF Down-Conversion Chain Due Dates: Mar. 27, Apr. 15 (Interim reports), May. 11 (Final report)

Course Project Topic: RF Down-Conversion Chain Due Dates: Mar. 27, Apr. 15 (Interim reports), May. 11 (Final report) Course Project Topic: RF Down-Conversion Chain Due Dates: Mar. 27, Apr. 15 (Interim reports), May. 11 (Final report) 1 Objective The objective of this project is to familiarize the student with the trade-offs

More information

APPH6040B / APPH20G-B Specification V2.0

APPH6040B / APPH20G-B Specification V2.0 APPH6040B / APPH20G-B Specification V2.0 (July 2014, Serial XXX-XX33XXXXX-XXXX or higher) A fully integrated high-performance cross-correlation signal source analyzer for to 7 or 26 GHz 1 Introduction

More information

Appendix. Harmonic Balance Simulator. Page 1

Appendix. Harmonic Balance Simulator. Page 1 Appendix Harmonic Balance Simulator Page 1 Harmonic Balance for Large Signal AC and S-parameter Simulation Harmonic Balance is a frequency domain analysis technique for simulating distortion in nonlinear

More information

Synthesis of Optimal On-Chip Baluns

Synthesis of Optimal On-Chip Baluns Synthesis of Optimal On-Chip Baluns Sharad Kapur, David E. Long and Robert C. Frye Integrand Software, Inc. Berkeley Heights, New Jersey Yu-Chia Chen, Ming-Hsiang Cho, Huai-Wen Chang, Jun-Hong Ou and Bigchoug

More information

3250 Series Spectrum Analyzer

3250 Series Spectrum Analyzer The most important thing we build is trust ADVANCED ELECTRONIC SOLUTIONS AVIATION SERVICES COMMUNICATIONS AND CONNECTIVITY MISSION SYSTEMS 3250 Series Spectrum Analyzer > Agenda Introduction

More information

Keywords: GPS, receiver, GPS receiver, MAX2769, 2769, 1575MHz, Integrated GPS Receiver, Global Positioning System

Keywords: GPS, receiver, GPS receiver, MAX2769, 2769, 1575MHz, Integrated GPS Receiver, Global Positioning System Maxim > Design Support > Technical Documents > User Guides > APP 3910 Keywords: GPS, receiver, GPS receiver, MAX2769, 2769, 1575MHz, Integrated GPS Receiver, Global Positioning System USER GUIDE 3910 User's

More information

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li Design Service Division, GLOBAL UNICHIP CORP., Taiwan, ROC Xiaopeng

More information

Including the proper parasitics in a nonlinear

Including the proper parasitics in a nonlinear Effects of Parasitics in Circuit Simulations Simulation accuracy can be improved by including parasitic inductances and capacitances By Robin Croston California Eastern Laboratories Including the proper

More information

A Simulation Methodology for Wirebonds Interconnects of Radiofrequency Integrated Circuits

A Simulation Methodology for Wirebonds Interconnects of Radiofrequency Integrated Circuits A Simulation Methodology for Wirebonds Interconnects of Radiofrequency Integrated Circuits Hercílio M. Cavalcanti 1 and Leandro T. Manera 2 1 Hercílio M. Cavalcanti, CTI Renato Archer, Campinas, São Paulo,

More information

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED

Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED Analog Devices Welcomes Hittite Microwave Corporation NO CONTENT ON THE ATTACHED DOCUMENT HAS CHANGED www.analog.com www.hittite.com THIS PAGE INTENTIONALLY LEFT BLANK v01.05.00 HMC141/142 MIXER OPERATION

More information

2005 Modelithics Inc.

2005 Modelithics Inc. Precision Measurements and Models You Trust Modelithics, Inc. Solutions for RF Board and Module Designers Introduction Modelithics delivers products and services to serve one goal accelerating RF/microwave

More information

Design and Verification of High Efficiency Power Amplifier Systems

Design and Verification of High Efficiency Power Amplifier Systems Design and Verification of High Efficiency Power Amplifier Systems Sean Lynch Platform Engineering Manager MATLAB EXPO 2013 1 What is Nujira? Nujira makes Envelope Tracking Modulators that make power amplifiers

More information

MODELING AND SIMULATION FOR RF SYSTEM DESIGN

MODELING AND SIMULATION FOR RF SYSTEM DESIGN MODELING AND SIMULATION FOR RF SYSTEM DESIGN Modeling and Simulation for RF System Design by RONNY FREVERT Fraunhofer Institute for Integrated Circuits, Dresden, Germany JOACHIM HAASE Fraunhofer Institute

More information

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information