Conventional 4-Way Set-Associative Cache

Size: px
Start display at page:

Download "Conventional 4-Way Set-Associative Cache"

Transcription

1 ISLPED 99 International Symposium on Low Power Electronics and Design Way-Predicting Set-Associative Cache for High Performance and Low Energy Consumption Koji Inoue, Tohru Ishihara, and Kazuaki Murakami Department of Computer Science and Communication Engineering Kyushu University Conventional 4-Way Set-Associative Cache Tag subarray Cache-line subarray Way 0 Way 1 Way 2 Way 3 Decode circuit Total energy for an access for decode for I/O pin drive Ecache = Edecode + Ememory + Eio for SRAM access Hit Activate of word line Activate senseamps pre(dis)charge bit lines Miss Activate of I/O pins

2 Phased 4-Way Set-Associative Cache for Low Energy Consumption Energy consumption improvement by sacrificing the performance Cycle 1 Cycle 2 Hit Miss Way-Predicting Set-Associative Cache - Concept - How can we achieve high-performance and low energy consumption at the same time? Fast access by reading out both of tag and line simultaneously Conventional : Good! Phased : Bad! Low energy by avoiding unnecessary line read access Conventional : Bad! Phased : Good! Predict which way has the data desired by the processor before the cache access is started

3 4Way-Predicting Set-Associative Cache - Operation - Way Prediction (Cache-line Base MRU Algorithm) Cycle 1 Cycle 2 Prediction Hit Miss Prediction Miss Cache Miss 4Way-Predicting Set-Associative Cache - Organization - MRU Algorithm

4 Evaluation Environment Cache Models Conventional 4-way Set-Associative Cache (4SACache) Phased 4-way Set-Associative Cache (P4SACache) Way-Predicting 4-way Set-Associative Cache (WP4SACache) Cache Size : 16 K Byte, Cache-line Size : 32 Byte, Replacement Algorithm : LRU Evaluation Items Performance (Tcache)average number of clock cycles for an access Energy (Ecache) average energy consumption for an access Energy consumed for accessing a tag-subarray Ecache ~ Ememory = Ntag Etag Ndata Edata Ave. number of tag-subarray accessed for an access Energy consumed for accessing a line-subarray Ave. number of line-subarray accessed for an access Static Analysis - Energy and Performance Expression - 4SACache P4SACache E4SACache 4 Etag + 4 Edata T4SACache 1 WP4SACache CHR:Cache Hit Rate PHR:Prediction Hit Rate EP4SACache 4 Etag + Edata CHR TP4SACache CHR EWP4SACache (Etag + Edata) + (3 Etag + 3 Edata) (1 - PHR) TWP4SACache (1 - PHR)

5 Static Analysis - Best and Worst Case - 4SACache (Conventional) P4SACache (Phased) WP4SACache (Ours) Energy Consumption Performance (Etag = 0.078Edata) Compare with Conventional (4SACache) Best Case (PHR = 100%) 75% energy improvement without any performance degradation Worst Case (PHR = 0%) 100% performance overhead without any energy improvement Experimental Analysis - Prediction Hit Rate - Average

6 Experimental Analysis - Result of Instruction Cache - Normalized Tcache Normalized Ecache 099.go 124.m88ksim 126.gcc 129.compress 130.li 099.go 124.m88ksim 126.gcc 129.compress 130.li 132.ijpeg 132.ijpeg 134.perl 134.perl 147.vortex 101.tomcatv 102.swim 103.su2cor 104.hydro2d 147.vortex 101.tomcatv 102.swim 103.su2cor 104.hydro2d 4SACache = 1.0 P4SACache WP4SACache (Our approach) Experimental Analysis - Result of Data Cache - Normalized Tcache Normalized Ecache 099.go 124.m88ksim 126.gcc 099.go 124.m88ksim 126.gcc 129.compress 129.compress 130.li 130.li 132.ijpeg 132.ijpeg 134.perl 134.perl 147.vortex 147.vortex 101.tomcatv 101.tomcatv 102.swim 102.swim 103.su2cor 103.su2cor 104.hydro2d 104.hydro2d 4SACache = 1.0 P4SACache WP4SACache (Our approach)

7 Normalized Results (%) Experimental Analysis - Energy and Performance - I-Cache 104.1% D-Cache 30.3% % 28.1% 35.2% Ecache Average of all benchmarks Conventional (4SACache) Phased (P4SACache) Way-Predicting (WP4SACache) 199.4% Tcache Normalized Results (%) Ecache 195.8% Tcache 113.0% Cache Power Consumption Cache Size trend Effect of on-chip caches to total chip power consumption DEC CPU* StrongARM SA-110 CPU* Bipolar ECL CPU** 25% 43% 50% * Kamble, et. Al., Analytical energy Dissipatiion Models for Low Power Caches, ILPED 97 ** Joouppi, et. Al., A 300-MHz 115-W 32-b Bipolar ECL Microprocessor,IEEE Journal of Solid-State Circuits 93

8 Energy Consumption Model 32KB Directmapped I-Cache Ememory=95.6% Components of the power dissipation 32KB 4-way D-Cache Ememory=97.7% Ghose, et. Al. : Energy Efficient Cache Organizations for Superscalar Processors, Power-Driven microarchitecture Workshop in Conjunction with ISCA 98 Bit line Word line Sense Amp Output driver Addr input Comparator Latche Average Energy Consumption for an access Energy consumed for accessing a tag-subarray Ecache ~ Ememory = Ntag Etag Ndata Edata Ave. number of tag-subarray accessed for an access Energy consumed for accessing a line-subarray Ave. number of line-subarray accessed for an access Experimental Analysis - Environment - Benchmarks SPECint go, 124.m88ksim, 126.gcc, 129.compress, 130.li, 132.ijpeg, 134.perl, 147.vortex SPECfp tomcatv, 102.swim, 103.su2cor, 104.hydro2d

Instruction Scheduling for Low Power Dissipation in High Performance Microprocessors

Instruction Scheduling for Low Power Dissipation in High Performance Microprocessors Instruction Scheduling for Low Power Dissipation in High Performance Microprocessors Abstract Mark C. Toburen Thomas M. Conte Department of Electrical and Computer Engineering North Carolina State University

More information

CS Computer Architecture Spring Lecture 04: Understanding Performance

CS Computer Architecture Spring Lecture 04: Understanding Performance CS 35101 Computer Architecture Spring 2008 Lecture 04: Understanding Performance Taken from Mary Jane Irwin (www.cse.psu.edu/~mji) and Kevin Schaffer [Adapted from Computer Organization and Design, Patterson

More information

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura System LSI Research Center Kyushu

More information

Performance Evaluation of Recently Proposed Cache Replacement Policies

Performance Evaluation of Recently Proposed Cache Replacement Policies University of Jordan Computer Engineering Department Performance Evaluation of Recently Proposed Cache Replacement Policies CPE 731: Advanced Computer Architecture Dr. Gheith Abandah Asma Abdelkarim January

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

Performance Evaluation of Multi-Threaded System vs. Chip-Multi-Processor System

Performance Evaluation of Multi-Threaded System vs. Chip-Multi-Processor System Performance Evaluation of Multi-Threaded System vs. Chip-Multi-Processor System Ho Young Kim, Robert Maxwell, Ankil Patel, Byeong Kil Lee Abstract The purpose of this study is to analyze and compare the

More information

Design Challenges in Multi-GHz Microprocessors

Design Challenges in Multi-GHz Microprocessors Design Challenges in Multi-GHz Microprocessors Bill Herrick Director, Alpha Microprocessor Development www.compaq.com Introduction Moore s Law ( Law (the trend that the demand for IC functions and the

More information

Dynamic MIPS Rate Stabilization in Out-of-Order Processors

Dynamic MIPS Rate Stabilization in Out-of-Order Processors Dynamic Rate Stabilization in Out-of-Order Processors Jinho Suh and Michel Dubois Ming Hsieh Dept of EE University of Southern California Outline Motivation Performance Variability of an Out-of-Order Processor

More information

Static Energy Reduction Techniques in Microprocessor Caches

Static Energy Reduction Techniques in Microprocessor Caches Static Energy Reduction Techniques in Microprocessor Caches Heather Hanson, Stephen W. Keckler, Doug Burger Computer Architecture and Technology Laboratory Department of Computer Sciences Tech Report TR2001-18

More information

FV-MSB: A Scheme for Reducing Transition Activity on Data Buses

FV-MSB: A Scheme for Reducing Transition Activity on Data Buses FV-MSB: A Scheme for Reducing Transition Activity on Data Buses Dinesh C Suresh 1, Jun Yang 1, Chuanjun Zhang 2, Banit Agrawal 1, Walid Najjar 1 1 Computer Science and Engineering Department University

More information

Inherent Time Redundancy (ITR): Using Program Repetition for Low-Overhead Fault Tolerance

Inherent Time Redundancy (ITR): Using Program Repetition for Low-Overhead Fault Tolerance Inherent Time Redundancy (ITR): Using Program Repetition for Low-Overhead Fault Tolerance Vimal Reddy, Eric Rotenberg Center for Efficient, Secure and Reliable Computing, ECE, North Carolina State University

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM

RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM Fengbin Tu, Weiwei Wu, Shouyi Yin, Leibo Liu, Shaojun Wei Institute of Microelectronics Tsinghua University The 45th International

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

Speed and Power Scaling of SRAM s

Speed and Power Scaling of SRAM s IEEE TRANSACTIONS ON SOLID-STATE CIRCUITS, VOL. 35, NO. 2, FEBRUARY 2000 175 Speed and Power Scaling of SRAM s Bharadwaj S. Amrutur and Mark A. Horowitz Abstract Simple models for the delay, power, and

More information

Project 5: Optimizer Jason Ansel

Project 5: Optimizer Jason Ansel Project 5: Optimizer Jason Ansel Overview Project guidelines Benchmarking Library OoO CPUs Project Guidelines Use optimizations from lectures as your arsenal If you decide to implement one, look at Whale

More information

SRAM Read Performance Degradation under Asymmetric NBTI and PBTI Stress: Characterization Vehicle and Statistical Aging

SRAM Read Performance Degradation under Asymmetric NBTI and PBTI Stress: Characterization Vehicle and Statistical Aging SRAM Read Performance Degradation under Asymmetric NBTI and PBTI Stress: Characterization Vehicle and Statistical Aging Xiaofei Wang,2 Weichao Xu 2 and Chris H. Kim 2 Intel Corporation, Hillsboro 2 University

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Evolution of DSP Processors. Kartik Kariya EE, IIT Bombay

Evolution of DSP Processors. Kartik Kariya EE, IIT Bombay Evolution of DSP Processors Kartik Kariya EE, IIT Bombay Agenda Expected features of DSPs Brief overview of early DSPs Multi-issue DSPs Case Study: VLIW based Processor (SPXK5) for Mobile Applications

More information

ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική

ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική Υπολογιστών Presentation of UniServer Horizon 2020 European project findings: X-Gene server chips, voltage-noise characterization, high-bandwidth voltage measurements,

More information

THE content-addressable memory (CAM) is one of the most

THE content-addressable memory (CAM) is one of the most 254 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 1, JANUARY 2005 A 0.7-fJ/Bit/Search 2.2-ns Search Time Hybrid-Type TCAM Architecture Sungdae Choi, Kyomin Sohn, and Hoi-Jun Yoo Abstract This paper

More information

CS4617 Computer Architecture

CS4617 Computer Architecture 1/26 CS4617 Computer Architecture Lecture 2 Dr J Vaughan September 10, 2014 2/26 Amdahl s Law Speedup = Execution time for entire task without using enhancement Execution time for entire task using enhancement

More information

Energy Recovery for the Design of High-Speed, Low-Power Static RAMs

Energy Recovery for the Design of High-Speed, Low-Power Static RAMs Energy Recovery for the Design of High-Speed, Low-Power Static RAMs Nestoras Tzartzanis and William C. Athas {nestoras, athas}@isi.edu URL: http://www.isi.edu/acmos University of Southern California Information

More information

Power Issues with Embedded Systems. Rabi Mahapatra Computer Science

Power Issues with Embedded Systems. Rabi Mahapatra Computer Science Power Issues with Embedded Systems Rabi Mahapatra Computer Science Plan for today Some Power Models Familiar with technique to reduce power consumption Reading assignment: paper by Bill Moyer on Low-Power

More information

Using Variable-MHz Microprocessors to Efficiently Handle Uncertainty in Real-Time Systems

Using Variable-MHz Microprocessors to Efficiently Handle Uncertainty in Real-Time Systems Using Variable-MHz Microprocessors to Efficiently Handle Uncertainty in Real-Time Systems Eric Rotenberg Center for Embedded Systems Research (CESR) Department of Electrical & Computer Engineering North

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Memory-Level Parallelism Aware Fetch Policies for Simultaneous Multithreading Processors

Memory-Level Parallelism Aware Fetch Policies for Simultaneous Multithreading Processors Memory-Level Parallelism Aware Fetch Policies for Simultaneous Multithreading Processors STIJN EYERMAN and LIEVEN EECKHOUT Ghent University A thread executing on a simultaneous multithreading (SMT) processor

More information

The Design of a Low Power Asynchronous Multiplier

The Design of a Low Power Asynchronous Multiplier The Design of a Low Power Asynchronous Multiplier Yijun Liu, Steve Furber The Advanced Processor Technologies Group The Department of Computer Science The University of Manchester Manchester M13 9PL, UK

More information

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs Li Zhou and Avinash Kodi Technologies for Emerging Computer Architecture Laboratory (TEAL) School of Electrical Engineering and

More information

MLP-aware Instruction Queue Resizing: The Key to Power- Efficient Performance

MLP-aware Instruction Queue Resizing: The Key to Power- Efficient Performance MLP-aware Instruction Queue Resizing: The Key to Power- Efficient Performance Pavlos Petoumenos 1, Georgia Psychou 1, Stefanos Kaxiras 1, Juan Manuel Cebrian Gonzalez 2, and Juan Luis Aragon 2 1 Department

More information

Design Issues for Dynamic Voltage Scaling

Design Issues for Dynamic Voltage Scaling Design Issues for Dynamic Voltage Scaling Thomas D. Burd Robert. W. Brodersen Berkeley Wireless Research Center University of California, Berkeley 8 Allston Way, Berkeley, CA 9474 +-5-666-35 Berkeley Wireless

More information

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Computer Architecture ECE 568

UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering. Computer Architecture ECE 568 UNIVERSITY OF MASSACHUSETTS Dept. of Electrical & Computer Engineering Computer Architecture ECE 568 Part 14 Improving Performance: Interleaving Israel Koren ECE568/Koren Part.14.1 Background Performance

More information

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura System LSI Research Center Kyushu

More information

Transistors, Gates and Busses 3/21/01 Lecture #

Transistors, Gates and Busses 3/21/01 Lecture # Transistors, Gates and Busses 3/2/ Lecture #8 6.7 The goal for today is to understand a bit about how a computer actually works: how it stores, adds, and communicates internally! How transistors make gates!

More information

A Static Power Model for Architects

A Static Power Model for Architects A Static Power Model for Architects J. Adam Butts and Guri Sohi University of Wisconsin-Madison {butts,sohi}@cs.wisc.edu 33rd International Symposium on Microarchitecture Monterey, California December,

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

Scheduling Switch-Mode Power Supply Noise for Real-Time Systems

Scheduling Switch-Mode Power Supply Noise for Real-Time Systems Scheduling Switch-Mode Power Supply Noise for Real-Time Systems Subash Sachidananda and Alexander Dean Department of Electrical and Computer Engineering Center for Efficient Scalable and Reliable Computing,

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

A 4 A 3 A 2 ROW DECODER 64K x 16 RAM Array I/O 1 I/O X 2048 I/O 9 I/O 16

A 4 A 3 A 2 ROW DECODER 64K x 16 RAM Array I/O 1 I/O X 2048 I/O 9 I/O 16 021 CY7C1021 Features High speed t AA = 12 ns CMOS for optimum speed/power Low active power 1320 mw (max.) Automatic power-down when deselected Independent Control of Upper and Lower bits Available in

More information

Bus Serialization for Reducing Power Consumption

Bus Serialization for Reducing Power Consumption Regular Paper Bus Serialization for Reducing Power Consumption Naoya Hatta, 1 Niko Demus Barli, 2 Chitaka Iwama, 3 Luong Dinh Hung, 1 Daisuke Tashiro, 4 Shuichi Sakai 1 and Hidehiko Tanaka 5 On-chip interconnects

More information

Outline Simulators and such. What defines a simulator? What about emulation?

Outline Simulators and such. What defines a simulator? What about emulation? Outline Simulators and such Mats Brorsson & Mladen Nikitovic ICT Dept of Electronic, Computer and Software Systems (ECS) What defines a simulator? Why are simulators needed? Classifications Case studies

More information

Final Report: DBmbench

Final Report: DBmbench 18-741 Final Report: DBmbench Yan Ke (yke@cs.cmu.edu) Justin Weisz (jweisz@cs.cmu.edu) Dec. 8, 2006 1 Introduction Conventional database benchmarks, such as the TPC-C and TPC-H, are extremely computationally

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

TCAM Core Design in 3D IC for Low Matchline Capacitance and Low Power

TCAM Core Design in 3D IC for Low Matchline Capacitance and Low Power Invited Paper TCAM Core Design in 3D IC for Low Matchline Capacitance and Low Power Eun Chu Oh and Paul D. Franzon ECE Dept., North Carolina State University, 2410 Campus Shore Drive, Raleigh, NC, USA

More information

Proactive Thermal Management Using Memory Based Computing

Proactive Thermal Management Using Memory Based Computing Proactive Thermal Management Using Memory Based Computing Hadi Hajimiri, Mimonah Al Qathrady, Prabhat Mishra CISE, University of Florida, Gainesville, USA {hadi, qathrady, prabhat}@cise.ufl.edu Abstract

More information

Real-Time Task Scheduling for a Variable Voltage Processor

Real-Time Task Scheduling for a Variable Voltage Processor Real-Time Task Scheduling for a Variable Voltage Processor Takanori Okuma Tohru Ishihara Hiroto Yasuura Department of Computer Science and Communication Engineering Graduate School of Information Science

More information

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture Overview 1 Trends in Microprocessor Architecture R05 Robert Mullins Computer architecture Scaling performance and CMOS Where have performance gains come from? Modern superscalar processors The limits of

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

HY62256A Series 32Kx8bit CMOS SRAM

HY62256A Series 32Kx8bit CMOS SRAM 32Kx8bit CMOS SRAM DESCRIPTION The HY62256A is a high-speed, low power and 32,786 x 8-bits CMOS Static Random Access Memory fabricated using Hyundai's high performance CMOS process technology. The HY62256A

More information

Motor Control using NXP s LPC2900

Motor Control using NXP s LPC2900 Motor Control using NXP s LPC2900 Agenda LPC2900 Overview and Development tools Control of BLDC Motors using the LPC2900 CPU Load of BLDCM and PMSM Enhancing performance LPC2900 Demo BLDC motor 2 LPC2900

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Low-Power Design for Embedded Processors

Low-Power Design for Embedded Processors Low-Power Design for Embedded Processors BILL MOYER, MEMBER, IEEE Invited Paper Minimization of power consumption in portable and batterypowered embedded systems has become an important aspect of processor

More information

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Nestoras Tzartzanis and Bill Athas nestoras@isiedu, athas@isiedu http://wwwisiedu/acmos Information Sciences Institute

More information

Ultra Low Power/High Speed CMOS SRAM 512K X 16 bit. Pb-Free and Green package materials are compliant to RoHS. STANDBY (ICCSB1, Max) V CC=3.

Ultra Low Power/High Speed CMOS SRAM 512K X 16 bit. Pb-Free and Green package materials are compliant to RoHS. STANDBY (ICCSB1, Max) V CC=3. Ultra Low Power/High Speed CMOS SRAM 512K X 16 bit Pb-Free and Green package materials are compliant to RoHS BH616UV8010 FEATURES Wide low operation voltage : 165V ~ 36V Ultra low power consumption : =

More information

Using Variable-MHz Microprocessors to Efficiently Handle Uncertainty in Real-Time Systems

Using Variable-MHz Microprocessors to Efficiently Handle Uncertainty in Real-Time Systems Using Variable-MHz Microprocessors to Efficiently Handle Uncertainty in Real-Time Systems Eric Rotenberg Center for Embedded Systems Research (CESR) Department of Electrical and Computer Engineering North

More information

Aging-Aware Instruction Cache Design by Duty Cycle Balancing

Aging-Aware Instruction Cache Design by Duty Cycle Balancing 2012 IEEE Computer Society Annual Symposium on VLSI Aging-Aware Instruction Cache Design by Duty Cycle Balancing TaoJinandShuaiWang State Key Laboratory of Novel Software Technology Department of Computer

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

Power Considerations in the Design of the Alpha Microprocessor

Power Considerations in the Design of the Alpha Microprocessor Power Considerations in the Design of the Alpha 21264 Microprocessor Michael K. Gowan, Larry L. Biro, Daniel B. Jackson Digital Equipment Corporation Hudson, Massachusetts 1. ABSTRACT Power dissipation

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Lecture 8: Memory Peripherals

Lecture 8: Memory Peripherals Digital Integrated Circuits (83-313) Lecture 8: Memory Peripherals Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 20 May 2017 Disclaimer: This course was prepared, in its

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

SATSim: A Superscalar Architecture Trace Simulator Using Interactive Animation

SATSim: A Superscalar Architecture Trace Simulator Using Interactive Animation SATSim: A Superscalar Architecture Trace Simulator Using Interactive Animation Mark Wolff Linda Wills School of Electrical and Computer Engineering Georgia Institute of Technology {wolff,linda.wills}@ece.gatech.edu

More information

Register Allocation and VDD-Gating Algorithms for Out-of-Order Architectures

Register Allocation and VDD-Gating Algorithms for Out-of-Order Architectures Register Allocation and VDD-Gating Algorithms for Out-of-Order Architectures Steven J. Battle and Mark Hempstead Drexel University Philadelphia, PA USA Email: sjb328@drexel.edu, mark.hempstead@coe.drexel.edu

More information

Using ECC Feedback to Guide Voltage Speculation in Low-Voltage Processors

Using ECC Feedback to Guide Voltage Speculation in Low-Voltage Processors Using ECC Feedback to Guide Voltage Speculation in Low-Voltage Processors Anys Bacha Computer Science and Engineering The Ohio State University bacha@cse.ohio-state.edu Radu Teodorescu Computer Science

More information

CLIPPER: Counter-based Low Impact Processor Power Estimation at Run-time

CLIPPER: Counter-based Low Impact Processor Power Estimation at Run-time CLIPPER: Counter-based Low Impact Processor Power Estimation at Run-time Jorgen Peddersen, Sri Parameswaran School of Computer Science and Engineering The University of New South Wales & National ICT Australia

More information

32K x 8 Reprogrammable Registered PROM

32K x 8 Reprogrammable Registered PROM 1CY7C277 CY7C277 32K x 8 Reprogrammable Registered PROM Features Windowed for reprogrammability CMOS for optimum speed/power High speed 30-ns address set-up 15-ns clock to output Low power 60 mw (commercial)

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

DIGITALLY ASSISTED ANALOG: REDUCING DESIGN CONSTRAINTS USING NONLINEAR DIGITAL SIGNAL PROCESSING

DIGITALLY ASSISTED ANALOG: REDUCING DESIGN CONSTRAINTS USING NONLINEAR DIGITAL SIGNAL PROCESSING DIGITALLY ASSISTED ANALOG: REDUCING DESIGN CONSTRAINTS USING NONLINEAR DIGITAL SIGNAL PROCESSING Batruni, Roy (Optichron, Inc., Fremont, CA USA, roy.batruni@optichron.com); Ramachandran, Ravi (Optichron,

More information

KEY FEATURES. Immune to Latch-UP Fast Programming. ESD Protection Exceeds 2000 V Asynchronous Output Enable GENERAL DESCRIPTION TOP VIEW A 10

KEY FEATURES. Immune to Latch-UP Fast Programming. ESD Protection Exceeds 2000 V Asynchronous Output Enable GENERAL DESCRIPTION TOP VIEW A 10 HIGH-SPEED 2K x 8 REGISTERED CMOS PROM/RPROM KEY FEATURES Ultra-Fast Access Time DESC SMD Nos. 5962-88735/5962-87529 25 ns Setup Pin Compatible with AM27S45 and 12 ns Clock to Output CY7C245 Low Power

More information

Duty-Cycle Shift under Asymmetric BTI Aging: A Simple Characterization Method and its Application to SRAM Timing

Duty-Cycle Shift under Asymmetric BTI Aging: A Simple Characterization Method and its Application to SRAM Timing Duty-Cycle Shift under Asymmetric BTI Aging: A Simple Characterization Method and its Application to SRAM Timing 1 Xiaofei Wang, 2 John Keane, 2 Pulkit Jain, 3 Vijay Reddy and 1 Chris H. Kim 1 University

More information

Cherry Picking: Exploiting Process Variations in the Dark Silicon Era

Cherry Picking: Exploiting Process Variations in the Dark Silicon Era Cherry Picking: Exploiting Process Variations in the Dark Silicon Era Siddharth Garg University of Waterloo Co-authors: Bharathwaj Raghunathan, Yatish Turakhia and Diana Marculescu # Transistors Power/Dark

More information

Lecture 19: Design for Skew

Lecture 19: Design for Skew Introduction to CMOS VLSI Design Lecture 19: Design for Skew David Harris Harvey Mudd College Spring 2004 Outline Clock Distribution Clock Skew Skew-Tolerant Circuits Traditional Domino Circuits Skew-Tolerant

More information

HD44102D. (Dot Matrix Liquid Crystal Graphic Display Column Driver) Features. Description. Ordering Information

HD44102D. (Dot Matrix Liquid Crystal Graphic Display Column Driver) Features. Description. Ordering Information HD442 (Dot Matrix Liquid Crystal Graphic Display Column Driver) Description The HD442 is a column (segment) driver for dot matrix liquid crystal graphic display systems, storing the display data transferred

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

FIFO WITH OFFSETS HIGH SCHEDULABILITY WITH LOW OVERHEADS. RTAS 18 April 13, Björn Brandenburg

FIFO WITH OFFSETS HIGH SCHEDULABILITY WITH LOW OVERHEADS. RTAS 18 April 13, Björn Brandenburg FIFO WITH OFFSETS HIGH SCHEDULABILITY WITH LOW OVERHEADS RTAS 18 April 13, 2018 Mitra Nasri Rob Davis Björn Brandenburg FIFO SCHEDULING First-In-First-Out (FIFO) scheduling extremely simple very low overheads

More information

Module 2.B. 9S12C Multiplexed Bus Expansion. Tim Rogers 2017

Module 2.B. 9S12C Multiplexed Bus Expansion. Tim Rogers 2017 Module 2.B 9S12C Multiplexed Bus Expansion Tim Rogers 2017 Learning Outcome #2 An ability to interface a microcontroller to various devices How? A+B are the most complex interface we will study in 362

More information

Exploiting Prediction to Reduce Power on Buses

Exploiting Prediction to Reduce Power on Buses Exploiting Prediction to Reduce Power on Buses Victor Wen vwen@csberkeleyedu Report No UCB/CSD-3-294 November 23 Computer Science Division (EECS) University of California Berkeley, California 9472 Exploiting

More information

Assessing and. Rui Wang, Assistant professor Dept. of Information and Communication Tongji University.

Assessing and. Rui Wang, Assistant professor Dept. of Information and Communication Tongji University. Assessing and Understanding Performance Rui Wang, Assistant professor Dept. of Information and Communication Tongji University it Email: ruiwang@tongji.edu.cn 4.1 Introduction Pi Primary reason for examining

More information

Realization and characterization of a smart meter for smart grid application

Realization and characterization of a smart meter for smart grid application Realization and characterization of a smart meter for smart grid application DANIELE GALLO 1, GIORGIO GRADITI 2, CARMINE LANDI 1, MARIO LUISO 1 1 Department of Industrial and Information Engineering Second

More information

Pre-Silicon Validation of Hyper-Threading Technology

Pre-Silicon Validation of Hyper-Threading Technology Pre-Silicon Validation of Hyper-Threading Technology David Burns, Desktop Platforms Group, Intel Corp. Index words: microprocessor, validation, bugs, verification ABSTRACT Hyper-Threading Technology delivers

More information

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University EE 224 Solid State Electronics II Lecture 3: Lattice and symmetry 1 Outline

More information

Instruction-Driven Clock Scheduling with Glitch Mitigation

Instruction-Driven Clock Scheduling with Glitch Mitigation Instruction-Driven Clock Scheduling with Glitch Mitigation ABSTRACT Gu-Yeon Wei, David Brooks, Ali Durlov Khan and Xiaoyao Liang School of Engineering and Applied Sciences, Harvard University Oxford St.,

More information

Leveraging Simultaneous Multithreading for Adaptive Thermal Control

Leveraging Simultaneous Multithreading for Adaptive Thermal Control Leveraging Simultaneous Multithreading for Adaptive Thermal Control James Donald and Margaret Martonosi Department of Electrical Engineering Princeton University {jdonald, mrm}@princeton.edu Abstract The

More information

Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto. High Speed 64kb SRAM. ECE 4332 Fall 2013

Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto. High Speed 64kb SRAM. ECE 4332 Fall 2013 Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto High Speed 64kb SRAM ECE 4332 Fall 2013 Outline Problem Design Approach & Choices Circuit Block Architecture Novelties Layout

More information

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec20 cwliu@twins.ee.nctu.edu.tw

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR Yang-Shyung Shyu * and Jiin-Chuan Wu Dept. of Electronics Engineering, National Chiao-Tung University 1001 Ta-Hsueh Road, Hsin-Chu, 300, Taiwan * E-mail:

More information

RC Servo Control Via TPU

RC Servo Control Via TPU RC Servo Control Via TPU If you ve ever wanted to control RC servos without any additional hardware, then pay attention to this project because that s just what Jeff has done. By designing a time processor

More information

Data Logger Subsystems Mark Buccini February 2012

Data Logger Subsystems Mark Buccini February 2012 Data Logger Subsystems Mark Buccini February 2012 Full Disclosure Mark E. Buccini ULP Staff at TI 25+ years strategy, applications, marketing, sales, and management experience Lead MSP430 worldwide introduction

More information

Unit-6 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION

Unit-6 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION M i c r o p r o c e s s o r s a n d M i c r o c o n t r o l l e r s P a g e 1 PROGRAMMABLE INTERRUPT CONTROLLERS 8259A-PROGRAMMABLE INTERRUPT CONTROLLER (PIC) INTRODUCTION Microcomputer system design requires

More information

22nd December Dear Sir/Madam:

22nd December Dear Sir/Madam: Jose Renau Email renau@cs.uiuc.edu Siebel Center for Computer Science Homepage http://www.uiuc.edu/~renau 201 N. Goodwin Phone (217) 721-5255 (mobile) Urbana, IL 61801 (217) 244-2445 (work) 22nd December

More information

Run-time Power Control Scheme Using Software Feedback Loop for Low-Power Real-time Applications

Run-time Power Control Scheme Using Software Feedback Loop for Low-Power Real-time Applications Run-time Power Control Scheme Using Software Feedback Loop for Low-Power Real-time Applications Seongsoo Lee Takayasu Sakurai Center for Collaborative Research and Institute of Industrial Science, University

More information

Revision No History Draft Date Remark. 10 Initial Revision History Insert Jul Final

Revision No History Draft Date Remark. 10 Initial Revision History Insert Jul Final 128Kx8bit CMOS SRAM Document Title 128K x8 bit 5.0V Low Power CMOS slow SRAM Revision History Revision No History Draft Date Remark 10 Initial Revision History Insert Jul.14.2000 Final 11 Marking Information

More information

SENSE AMPS POWER DOWN

SENSE AMPS POWER DOWN 185 CY7C185 8K x 8 Static RAM Features High speed 15 ns Fast t DOE Low active power 715 mw Low standby power 220 mw CMOS for optimum speed/power Easy memory expansion with,, and OE features TTL-compatible

More information

512 x 8 Registered PROM

512 x 8 Registered PROM 512 x 8 Registered PROM Features CMOS for optimum speed/power High speed 25 ns address set-up 12 ns clock to output Low power 495 mw (Commercial) 660 mw (Military) Synchronous and asynchronous output enables

More information

Applying pinwheel scheduling and compiler profiling for power-aware real-time scheduling

Applying pinwheel scheduling and compiler profiling for power-aware real-time scheduling Real-Time Syst (2006) 34:37 51 DOI 10.1007/s11241-006-6738-6 Applying pinwheel scheduling and compiler profiling for power-aware real-time scheduling Hsin-hung Lin Chih-Wen Hsueh Published online: 3 May

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

Instructor: Dr. Mainak Chaudhuri. Instructor: Dr. S. K. Aggarwal. Instructor: Dr. Rajat Moona

Instructor: Dr. Mainak Chaudhuri. Instructor: Dr. S. K. Aggarwal. Instructor: Dr. Rajat Moona NPTEL Online - IIT Kanpur Instructor: Dr. Mainak Chaudhuri Instructor: Dr. S. K. Aggarwal Course Name: Department: Program Optimization for Multi-core Architecture Computer Science and Engineering IIT

More information