A Self Tuning System for On- Die Terminators in Current Mode Off- Chip Signaling

Size: px
Start display at page:

Download "A Self Tuning System for On- Die Terminators in Current Mode Off- Chip Signaling"

Transcription

1 E. Lopez- Delgadillo, J.A. Diaz- Mendez, M.A. Garcia- Andrade, M.E. Magana, F. Maloberti: "A Self Tuning System for On-Die Terminators in Current Mode Off-Chip Signaling"; 5nd IEEE ternational Midwest Symposium on Circuits and Systems, MWSCAS 009, Cancun, - 5 August 009, pp xx IEEE. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution to servers or lists, or to reuse any copyrighted component of this work in other works must be obtained from the IEEE.

2 A Self Tuning System for On-Die Terminators in Current Mode Off-Chip Signaling E. López-Delgadillo,J.A.Díaz-Méndez,M.A.García-Andrade,M.E.Magaña and F. Maloberti National stitute for Astrophysics, Optics and Electronics (AOE). Tonantzintla, Puebla, México. National Polytechnical stitute, SEPI Culhuacán. Culhuacán, DF, México. Universidad Autónoma de Baja California, Engineering Faculty. Mexicali Baja California, México. Oregon State University, School of Electrical Engineering and Computer Science. Corvallis, Oregon, USA. University of Pavia, Department of Electronics. Pavia, Italy Abstract A system for self tuning of on-die terminators in current mode off-chip signaling is presented. The proposed method is based on an algorithm that uses the sign of the impedance matching error and the sign of the coupling branch current to perform the self tuning operation. The circuit implementation of the system is described and computer simulations at the transistor level are presented for process, temperature and load impedance variations. I. TRODUCTION The evolution of integrated circuit technologies has allowed the development of more complex electronic systems. This is, mainly, due to the scaling trends into submicrometric dimensions of silicon based devices, which permits the integration of a large number of systems in a single chip. At the same time, the operating frequencies of such systems are higher and a large amount of information can be processed in a short period of time. On the other hand, while the core frequencies are increasing, higher data rates for off-chip interconnections become necessary. Unfortunately, at high rates the signal wave length is comparable with the physical length of the interconnections, because of this, parasitic and transmission line effects have to be taken into account. As a consequence, the transmitted signal integrity is degraded resulting in communication errors [1], []. It has been shown that current-mode signaling offers several advantages over voltage-mode at high data rates [3], but the use of termination resistors is necessary and due to the large number of input/output circuits, terminations have to be placed on-chip [4]. One of the most important transmission line effects that degrades signal integrity in these signaling schemes is reflection loss. It appears when the transmission line characteristic impedance is not perfectly matched to the line driver and receiver impedance. this case, signal reflections traveling trough the line are present in either, driver to receiver or receiver to driver, directions. Unfortunately, it is difficult to achieve perfect matching due to the large process variations in the fabrication of interconnect lines and the different traces between them [5]. Moreover, temperature variations and external effects are also present. As a consequence, impedance matching techniques must be developed in order to automatically adapt the impedance variations of the line. Conventional approaches for impedance matching are termination techniques, where either parallel, Thevenin, AC or series terminators are implemented at the driver and/or receiver side of the line []. The drawback for these methods is that they are implemented with fixed impedances and variations for characteristic impedance of the line are not considered. [6], [7], [8] and [9] impedance matching techniques are presented in which digitally controlled parallel arrays of transistors with different dimensions match the line impedance. Disadvantages of these techniques are that noise at the power supply line can be generated because of the simultaneous switching of impedance branches and that a large number of branches are used if high precision is needed [4]. Other types of impedance matching techniques are those in which the appropriate value of impedance is achieved by controlling analog variable resistors, [5] and [4], reducing noise and achieving high precision. An important issue in matching is the impedance reference for automatic adaptation. A commonly used technique is to implement a voltage divider composed of an off chip resistance in series with a replica of the terminator, the voltage is compared against an on chip reference, [4], [8] and [9]. The drawbacks are that interconnect impedance variations are not considered and the area of the PCB increases due to the off-chip resistance. [7] the use of an external resistor is avoided by using an internal current source that produces a voltage drop on the replica of the terminator. [5] the tuning scheme is implemented to directly use the line impedance to generate the voltage reference, avoiding the problems presented before. this paper we present a method for automatic impedance matching in current mode off chip signaling schemes, which uses a continuous calibration technique that matches for PT (Process, oltage and Temperature) and interconnect variations and no off chip reference resistors are needed. II. BASIC PRCIPLE The principle for the proposed impedance matching technique is shown in Fig. 1. The core of the scheme is the unipolar current mode driver, which sinks the current I S from its outputs depending on the logic state of its inputs and. The terminators Z g are variable impedances that can be analog programmed via CTRL to match the interconnect impedance Z 0. The voltage reference generator system is depicted in the dashed rectangle. It is in essence a replica of the main driver, the only difference is that it sinks a current I S from the impedance Z g when the input is at logic one. The voltages Out of the driver and REF of the reference generator are the inputs for the impedance calibration circuit. This circuit generates the control voltage CTRL for the programmable resistances which minimizes the mismatching with the line characteristic impedance. Analyzing Fig. 1 and ignoring package effects, the voltage at the output of the driver Out is given by equation (1). «ZgZ 0 Out = DD0 I S. (1) Z g + Z 0 After some mathematical manipulation, it is possible to express equation (1) in a more convenient form, i.e /09/$ IEEE 10

3 P Fig. 1. CTRL Zg CTRL Out Ig DDO OutB Zg I g Z OUT g REF DDO I g a c k a g e Impedance Calibration Z 0 Z 0 CTRL Termination scheme for impedance matching. (n) (n) CLK OUT REF Cmp Ref FFD1 D Q D Fig.. Q Latch1 O Latch O O O Multiplier I CH I- Filter I CH Signal Condit. Impedance calibration circuit implementation. CTRL CTRL Also, the reference voltage REF Out = DD0 I gz g. () is given by REF = DD0 Is Z g. (3) From equations () and (3), the impedance matching error e is defined in (4). «IS e = Out REF = Z g I g. (4) As stated before, the goal of the impedance calibration circuit is to find the correct value for CTRL, to achieve this, the technique performed in [10] is used, namely, Z t CTRL = β Sgn(e(u))Sgn(I g(u))du, (5) where β is constant and establishes the speed of adaptation of the system. The function Sgn(e(u)) is deduced from (4) by assuming Z g > 0 as shown in (6). This equation presents the same result as in [10] and means that the matching condition is achieved when the current I g of the matching impedance is the same as that in the interconnect line, in other words, Z g = Z 0. «««IS Sgn(e(u)) = Sgn Z g I IS g = Sgn I g. (6) From equation (5), the proposed implementation of the impedance calibration circuit is shown in Fig.. The function Sgn(e(u)) is achieved with the clocked comparator Cmp, the inputs for this stage are the reference and the output voltages. The Sgn(I g(u)) is directly estimated from the input data and with the flip flop FFD1, the input buffers are used to compensate the driver delay. It is important to note that due to the use of the comparator and the flip flop with a control clock, the data signals can work in higher data rate applications and allows us to have a low frequency impedance calibration circuit. order to have the information of the signs of the mismatch error and I g at the same time, Latch1 and Latch are implemented, it is mainly because of the settling time of the comparator that gives a different delay time depending on the voltage difference of its inputs. The next stage is the multiplication, which is performed by AND gates, this is because the driver is unipolar and Sgn(I g(u)) only represent a logic one or a zero. The subsequent stage of the circuit is the integrator which is composed of the charge pump and the I- filter. The first converts its digital inputs into current pulses, then those pulses are integrated and changed to voltage in the filter. Finally, the signal conditioning circuit provides adequate differential control voltage for the programmable terminators. III. CIRCUIT TECHNIQUES this section the circuit techniques for the implementation of the elements of Fig. and the programmable terminator are presented. Circuits are designed in a standard CMOS 0.35μm technology. A. On-die Programmable Terminator The implemented on die programmable terminator resistor is shown in Fig. 3. The basic cell of the circuit is composed of M1, M and R1. Transistors M1 and M are connected as a transmission gate, in order to improve linearity. The gate voltages CTRL and CTRL control the impedance of the circuit and have to be differential. R1 is realized with a parallel combination of poly resistors and its function is to keep a fixed impedance value if for some reason M1 and M leave the linear region. As can be seen in Fig. 3, the total impedance is composed of two basic cells connected in series so that the drain to source voltages of the transistors are reduced and as a consequence the linear range is increased. Fig. 3 the voltage to current characteristic of the programmable terminator is shown for several values of CTRL and CTRL. CTRL Ma M R1a R1 M1a M1 CTRL Fig. 3. Programmable terminator circuit. B. Clocked Comparator The clocked comparator is shown in Fig. 4, [11]. It is composed of tree stages. The first stage is the preamplifier, which consists of a differential pair Ma1 and Ma and its diode connected loads Ma3 and Ma4. the second stage (Mc1 10) the comparison is achieved. This stage works in two modes: tracking and latching. the tracking mode, the clock falls from high to low, turning off transistors Mc5 and Mc6. this mode, input transistors Mc1 and Mc4 convert input voltages into currents that charge nodes n1 and n to an 103

4 appropriate value. tracking mode, the transistors Mc8 and Mc10 are on and set the output nodes to DD. the latching mode, the clock is high, Mc5 and Mc6 are turned on, while Mc8 and Mc10 are turned off. this case, transistors Mc 3 and Mc8 9 latch and amplify the voltages in n1 and n to the rails. The last stage is the amplify and hold. this stage, the output voltages from the second stage are first amplified with inverters and then latched with a NOR latch in order to keep the correct logic output. in Ma3 BIAS Ma1 Ma Mbias Ma4 ref Mc7 Mc8 Mc9 Mc10 Mc5 Mc6 n1 n Mc1 Mc Mc3 Mc4 AMP & HOLD ctrl Fig. 6. pd nd Charge pump control. E. Charge Pump Filter the charge pump circuit of Fig. 5, if the output node voltage takes a value near DD or SS then the drain to source voltage of M3 or M5 is so small that it produces a mismatch between the currents of these transistors. order to reduce the effect of current mismatch, an OTA-based active integrator is implemented as shown in Fig. 7. Due to the high gain of the OTA, the input node of the filter nin is set to a voltage near DD. C1 Out OutD nin OUT Fig. 4. Clocked comparator. DD C. Flip Flop and Latches The goal of the flip flop is to sample the sign of the data to be transmitted. Since this has to be done at the same time as the comparator, they need to have the same clock signal. this case, a master-slave D flip flop is implemented with a cascade of two latches that are clocked in opposite phases [1]. These latches are also implemented as a pipe line for the outputs of the comparator and the flip flop. D. Charge Pump The schematic of the implemented charge pump is shown in Fig. 5, [13]. The main part of the circuit is the right branch composed by the transmission gates TG1 and TG, which are used to control the output current I OUT to the load. order to reduce the start up delay of the current sources, a dummy branch is implemented through TG3 and TG4, so that when a transmission gate in the main branch is closed, the current is redirected by the dummy branch to REF. Also, in Fig. 5, an example of control signals for the transmission gate is shown. They are shaped in such a way that current is always flowing through M3 and M5. Fig. 7. Charge pump filter. F. Signal Conditioning Circuit The last section of the impedance calibration system is the signal conditioning circuit. As shown in Fig. 8, this circuit consists of a unitary gain inverter amplifier that produces a complementary output signal. The unity gain is achieved by setting the correct values to the diffusion resistors R1 and R, the gray part of the circuit is used to cancel the offset generated by PT variations. Finally, the output voltage followers set the complementary signals CTRL and CTRL near a desired value. DD R1 R BIAS BIAS CTRL CTRL M1 M M3 Fig. 8. Impedance Calibration Circuit Implementation Pd Nd I REF M4 Fig. 5. REF Pu Pd TG4 TG3 Charge pump. Nu Pu Nd Pd Fig. 6, the circuits used to generate the control signals for the charge pump are shown. With the circuit in Fig. 6 the signals of Fig. 5 are synthesized. The circuit is based on a delay line and an OR gate, in order to get wider pulses and another delay line is used for delay compensation. Finally, the differential signal generator for the transmission gates is shown in Fig. 6, [13]. M5 TG TG1 Nu Nd I OUT I. SIMULATION RESULTS order to verify the performance of the impedance calibration circuit of Fig., the circuits are designed in a standard CMOS 0.35μm technology and simulated using Mentor Graphics tools. The test vehicle is the circuit of Fig. 1, but with different ideal resistive loads of 40Ω, 50Ω and 60Ω. The data inputs to the system and are generated with the pseudorandom sequence generator used in [14], with 1Gb/s data rate. The clock frequency for the impedance calibration circuit is established at 400MHz. Fig. 9 the output and the reference voltages are presented when the load impedance is 40Ω. The signals before adaptation are shown in Fig. 9. Fig. 9 the same signals are shown after adaptation. The normalized error as a function of time for the system with load impedance of 40Ω is shown in Fig. 10 and for a load impedance of 104

5 oltage () oltage () show the simulations results m 96m 100m 104m 108m 11m Fig. 9. Performance of the system Fig. 1. Normalized error for process variations.. CONCLUSIONS A method for self tuning of on-die terminators for current mode off-chip signaling based on a sign minimization algorithm has been presented. The performance of the system has been probed by means of transistor level simulations for load, temperature and process variations. The proposed system can work in a low frequency environment while the data rates are high. The proposed implementation is simple and no off chip reference impedance is needed and only needs a small number of circuit elements. Fig. 10. Normalized error for different load impedances. 60Ω the error is presented in Fig. 10. the last case, the system adapts faster because it starts from a smaller error. The normalized error behavior of the system for temperature variations is shown in Fig. 11. As can be seen, the performance is worst in Fig. 11 which corresponds to a temperature of 10 o C. Fig. 11 represents the normalized error of the system working at 40 o C. Fig Normalized error for temperature variations. The last simulations deal with worst case speed and power scenarios. The Mentor Graphics kit is used to perform this task. Only worst power and speed cases are presented because they result in poor performance compared with the others. Figs. 1 and 1 REFERENCES [1] S. Thierauf, High-Speed Circuit Board Signal tegrity. Artech House, c., 004. [] D. Brooks, Signal tegrity Issues and Printed Circuit Board Design. Prentice Hall PTR, 003. [3] F. Juan, CMOS Current-Mode Circuits for a Communications. Springer, 007. [4] Y. Fan and J. Smith, On-die termination resistors with analog impedance control for standard cmos technology, IEEE Journal of Solid-State Circuits, vol. 38, no., pp , Feb [5] N. Ramachandran, H. Dinc, and A. Karsilayan, A 3.3-v cmos adaptive analog video line driver with low distortion performance, IEEE Journal of Solid-State Circuits, vol. 38, no. 6, pp , 003. [6] A. DeHon, T. Knight, and T. Simon, Automatic impedance control, IEEE ternational Conference on Solid State Circuits, pp , Feb [7] K. Koo, J. Seo, and J. Kim, A new impedance control circuit for usb.0 transceiver, Proceedings of 7th European Solid State Circuits Conference, pp , Sept [8] K. Koo et al., A versatile i/o with robust impedance calibration for various memory interfaces, IEEE ternational Symposium on Circuits and Systems, pp , May 006. [9] H. Muljono et al., A 400-mt/s 6.4-gb/s multiprocessor bus interface, IEEE Journal of Solid-State Circuits, vol. 38, no. 11, pp , Nov [10] E. Lopez-Delgadillo, M. A. Garcia-Andrade, J. Díaz-Méndez, and F. Maloberti, Automatic impedance control for chip-to-chip interconnections, IEEE ternational Conference on Electronics, Circuits, and Systems, pp , 008. [11] D. Johns and K. Martin, Analog tegrated Circuit Design. John Wiley and Sons, c., [1] K. Martin, Digital tegrated Circuit Design. Oxford University Press, 000. [13] B. Muer, CMOS Fractional-N Synthesizers. Kluwer Academic Publishers, 003. [14] X. Lin and J. Liu, A digital power spectrum estimation method for the adaptation of high-speed equalizers, IEEE Transactions on Circuits, and Systems-I: Regular Papers, vol. 51, no. 1, pp , Dec

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

Modulator with Op- Amp Gain Compensation for Nanometer CMOS Technologies

Modulator with Op- Amp Gain Compensation for Nanometer CMOS Technologies A. Pena Perez, V.R. Gonzalez- Diaz, and F. Maloberti, ΣΔ Modulator with Op- Amp Gain Compensation for Nanometer CMOS Technologies, IEEE Proceeding of Latin American Symposium on Circuits and Systems, Feb.

More information

On the Design of Single- Inductor Multiple- Output DC- DC Buck Converters

On the Design of Single- Inductor Multiple- Output DC- DC Buck Converters M. Belloni, E. Bonizzoni, F. Maloberti: "On the Design of Single-Inductor Multiple-Output DC-DC Buck Converters"; IEEE Int. Symposium on Circuits and Systems, ISCAS 2008, Seattle, 18-21 May 2008, pp. 3049-3052.

More information

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage D. Aksin, M.A. Al- Shyoukh, F. Maloberti: "An 11 Bit Sub-Ranging SAR ADC with Input Signal Range of Twice Supply Voltage"; IEEE International Symposium on Circuits and Systems, ISCAS 2007, New Orleans,

More information

CMOS Instrumentation Amplifier with Offset Cancellation Circuitry for Biomedical Application

CMOS Instrumentation Amplifier with Offset Cancellation Circuitry for Biomedical Application CMOS Instrumentation Amplifier with Offset Cancellation Circuitry for Biomedical Application Author Mohd-Yasin, Faisal, Yap, M., I Reaz, M. Published 2006 Conference Title 5th WSEAS Int. Conference on

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

Rail-To-Rail Output Op-Amp Design with Negative Miller Capacitance Compensation

Rail-To-Rail Output Op-Amp Design with Negative Miller Capacitance Compensation Rail-To-Rail Op-Amp Design with Negative Miller Capacitance Compensation Muhaned Zaidi, Ian Grout, Abu Khari bin A ain Abstract In this paper, a two-stage op-amp design is considered using both Miller

More information

A Very Fast and Low- power Time- discrete Spread- spectrum Signal Generator

A Very Fast and Low- power Time- discrete Spread- spectrum Signal Generator A. Cabrini, A. Carbonini, I. Galdi, F. Maloberti: "A ery Fast and Low-power Time-discrete Spread-spectrum Signal Generator"; IEEE Northeast Workshop on Circuits and Systems, NEWCAS 007, Montreal, 5-8 August

More information

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP 10.4 A Novel Continuous-Time Common-Mode Feedback for Low-oltage Switched-OPAMP M. Ali-Bakhshian Electrical Engineering Dept. Sharif University of Tech. Azadi Ave., Tehran, IRAN alibakhshian@ee.sharif.edu

More information

Band- Pass ΣΔ Architectures with Single and Two Parallel Paths

Band- Pass ΣΔ Architectures with Single and Two Parallel Paths H. Caracciolo, I. Galdi, E. Bonizzoni, F. Maloberti: "Band-Pass ΣΔ Architectures with Single and Two Parallel Paths"; IEEE Int. Symposium on Circuits and Systems, ISCAS 8, Seattle, 18-21 May 8, pp. 1656-1659.

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, 27-30 May 2007. This material is posted here with permission of the IEEE. Such permission of the IEEE

More information

INTEGRATED CIRCUITS. AN109 Microprocessor-compatible DACs Dec

INTEGRATED CIRCUITS. AN109 Microprocessor-compatible DACs Dec INTEGRATED CIRCUITS 1988 Dec DAC products are designed to convert a digital code to an analog signal. Since a common source of digital signals is the data bus of a microprocessor, DAC circuits that are

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 10.8 10Gb/s Limiting Amplifier and Laser/Modulator Driver in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering

More information

Performance Enhanced Op- Amp for 65nm CMOS Technologies and Below

Performance Enhanced Op- Amp for 65nm CMOS Technologies and Below Aldo Pena Perez and F. Maloberti, Performance Enhanced Op- Amp for 65nm CMOS Technologies and Below, IEEE Proceeding of the International Symposium on Circuits and Systems, pp. 21 24, May 212. 2xx IEEE.

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration M. Casubolo, M. Grassi, A. Lombardi, F. Maloberti, P. Malcovati: "A Two-Bit-per- Cycle Successive-Approximation ADC with Background Calibration"; 15th IEEE Int. Conf. on Electronics, Circuits and Systems,

More information

Chapter 13: Introduction to Switched- Capacitor Circuits

Chapter 13: Introduction to Switched- Capacitor Circuits Chapter 13: Introduction to Switched- Capacitor Circuits 13.1 General Considerations 13.2 Sampling Switches 13.3 Switched-Capacitor Amplifiers 13.4 Switched-Capacitor Integrator 13.5 Switched-Capacitor

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

Class-AB Low-Voltage CMOS Unity-Gain Buffers

Class-AB Low-Voltage CMOS Unity-Gain Buffers Class-AB Low-Voltage CMOS Unity-Gain Buffers Mariano Jimenez, Antonio Torralba, Ramón G. Carvajal and J. Ramírez-Angulo Abstract Class-AB circuits, which are able to deal with currents several orders of

More information

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck Synchronous Mirror Delays ECG 721 Memory Circuit Design Kevin Buck 11/25/2015 Introduction A synchronous mirror delay (SMD) is a type of clock generation circuit Unlike DLLs and PLLs an SMD is an open

More information

RESISTOR-STRING digital-to analog converters (DACs)

RESISTOR-STRING digital-to analog converters (DACs) IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 6, JUNE 2006 497 A Low-Power Inverted Ladder D/A Converter Yevgeny Perelman and Ran Ginosar Abstract Interpolating, dual resistor

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

A Robust Oscillator for Embedded System without External Crystal

A Robust Oscillator for Embedded System without External Crystal Appl. Math. Inf. Sci. 9, No. 1L, 73-80 (2015) 73 Applied Mathematics & Information Sciences An International Journal http://dx.doi.org/10.12785/amis/091l09 A Robust Oscillator for Embedded System without

More information

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop Seong-Jin An 1 and Young-Shig Choi 2 Department of Electronic Engineering, Pukyong National University

More information

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver 3.1 INTRODUCTION As last chapter description, we know that there is a nonlinearity relationship between luminance

More information

Design Of A Comparator For Pipelined A/D Converter

Design Of A Comparator For Pipelined A/D Converter Design Of A Comparator For Pipelined A/D Converter Ms. Supriya Ganvir, Mr. Sheetesh Sad ABSTRACT`- This project reveals the design of a comparator for pipeline ADC. These comparator is designed using preamplifier

More information

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL

Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL Chapter 2 Analysis of Quantization Noise Reduction Techniques for Fractional-N PLL 2.1 Background High performance phase locked-loops (PLL) are widely used in wireless communication systems to provide

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation Y. Zu, C.- H. Chan, S.- W. Sin, S.- P. U, R.P. Martins, F. Maloberti: "A 35 fj 10b 160 MS/s Pipelined-SAR ADC with Decoupled Flip-Around MDAC and Self- Embedded Offset Cancellation"; IEEE Asian Solid-

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

An 8- bit current mode ripple folding A/D converter

An 8- bit current mode ripple folding A/D converter H. Dinc, F. Maloberti: "An 8-bit current mode ripple folding A/D converter"; Proc. of the 2003 Int. Symposium on Circuits and Systems, ISCAS 2003, Bangkok, 25-28 May 2003, Vol. 1, pp. 981-984. 20xx IEEE.

More information

ECEN 474/704 Lab 7: Operational Transconductance Amplifiers

ECEN 474/704 Lab 7: Operational Transconductance Amplifiers ECEN 474/704 Lab 7: Operational Transconductance Amplifiers Objective Design, simulate and layout an operational transconductance amplifier. Introduction The operational transconductance amplifier (OTA)

More information

SOLIMAN A. MAHMOUD Department of Electrical Engineering, Faculty of Engineering, Cairo University, Fayoum, Egypt

SOLIMAN A. MAHMOUD Department of Electrical Engineering, Faculty of Engineering, Cairo University, Fayoum, Egypt Journal of Circuits, Systems, and Computers Vol. 14, No. 4 (2005) 667 684 c World Scientific Publishing Company DIGITALLY CONTROLLED CMOS BALANCED OUTPUT TRANSCONDUCTOR AND APPLICATION TO VARIABLE GAIN

More information

Advanced Operational Amplifiers

Advanced Operational Amplifiers IsLab Analog Integrated Circuit Design OPA2-47 Advanced Operational Amplifiers כ Kyungpook National University IsLab Analog Integrated Circuit Design OPA2-1 Advanced Current Mirrors and Opamps Two-stage

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it.

Publication [P3] By choosing to view this document, you agree to all provisions of the copyright laws protecting it. Publication [P3] Copyright c 2006 IEEE. Reprinted, with permission, from Proceedings of IEEE International Solid-State Circuits Conference, Digest of Technical Papers, 5-9 Feb. 2006, pp. 488 489. This

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation

IN the design of the fine comparator for a CMOS two-step flash A/D converter, the main design issues are offset cancelation JOURNAL OF STELLAR EE315 CIRCUITS 1 A 60-MHz 150-µV Fully-Differential Comparator Erik P. Anderson and Jonathan S. Daniels (Invited Paper) Abstract The overall performance of two-step flash A/D converters

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Atypical op amp consists of a differential input stage,

Atypical op amp consists of a differential input stage, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 6, JUNE 1998 915 Low-Voltage Class Buffers with Quiescent Current Control Fan You, S. H. K. Embabi, and Edgar Sánchez-Sinencio Abstract This paper presents

More information

A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme

A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme 78 Hyeopgoo eo : A NEW CAPACITIVE CIRCUIT USING MODIFIED CHARGE TRANSFER SCHEME A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme Hyeopgoo eo, Member, KIMICS Abstract This paper proposes

More information

Low-Voltage Wide Linear Range Tunable Operational Transconductance Amplifier

Low-Voltage Wide Linear Range Tunable Operational Transconductance Amplifier Low-Voltage Wide Linear Range Tunable Operational Transconductance Amplifier A dissertation submitted in partial fulfillment of the requirement for the award of degree of Master of Technology in VLSI Design

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 20.2 A Digitally Calibrated 5.15-5.825GHz Transceiver for 802.11a Wireless LANs in 0.18µm CMOS I. Bouras 1, S. Bouras 1, T. Georgantas

More information

Time- interleaved sigma- delta modulator using output prediction scheme

Time- interleaved sigma- delta modulator using output prediction scheme K.- S. Lee, F. Maloberti: "Time-interleaved sigma-delta modulator using output prediction scheme"; IEEE Transactions on Circuits and Systems II: Express Briefs, Vol. 51, Issue 10, Oct. 2004, pp. 537-541.

More information

Yet, many signal processing systems require both digital and analog circuits. To enable

Yet, many signal processing systems require both digital and analog circuits. To enable Introduction Field-Programmable Gate Arrays (FPGAs) have been a superb solution for rapid and reliable prototyping of digital logic systems at low cost for more than twenty years. Yet, many signal processing

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

ECEN 474/704 Lab 5: Frequency Response of Inverting Amplifiers

ECEN 474/704 Lab 5: Frequency Response of Inverting Amplifiers ECEN 474/704 Lab 5: Frequency Response of Inverting Amplifiers Objective Design, simulate and layout various inverting amplifiers. Introduction Inverting amplifiers are fundamental building blocks of electronic

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

DESIGN OF ON CHIP TEMPERATURE MONITORING IN 90NM CMOS

DESIGN OF ON CHIP TEMPERATURE MONITORING IN 90NM CMOS DESIGN OF ON CHIP TEMPERATURE MONITORING IN 90NM CMOS A thesis submitted to the faculty of San Francisco State University In partial fulfillment of The Requirements for The Degree Master of Science In

More information

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 06, 2015 ISSN (online): 2321-0613 A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati

More information

Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage

Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage Sadeque Reza Khan Department of Electronic and Communication Engineering, National

More information

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER

A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER A PSEUDO-CLASS-AB TELESCOPIC-CASCODE OPERATIONAL AMPLIFIER M. Taherzadeh-Sani, R. Lotfi, and O. Shoaei ABSTRACT A novel class-ab architecture for single-stage operational amplifiers is presented. The structure

More information

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Abstract In this paper, we present a complete design methodology for high-performance low-power Analog-to-Digital

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

DIGITALLY controlled and area-efficient calibration circuits

DIGITALLY controlled and area-efficient calibration circuits 246 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 5, MAY 2005 A Low-Voltage 10-Bit CMOS DAC in 0.01-mm 2 Die Area Brandon Greenley, Raymond Veith, Dong-Young Chang, and Un-Ku

More information

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems 1 Eun-Jung Yoon, 2 Kangyeob Park, 3* Won-Seok Oh 1, 2, 3 SoC Platform Research Center, Korea Electronics Technology

More information

Implications of Using kw-level GaN Transistors in Radar and Avionic Systems

Implications of Using kw-level GaN Transistors in Radar and Avionic Systems Implications of Using kw-level GaN Transistors in Radar and Avionic Systems Daniel Koyama, Apet Barsegyan, John Walker Integra Technologies, Inc., El Segundo, CA 90245, USA Abstract This paper examines

More information

AN increasing number of video and communication applications

AN increasing number of video and communication applications 1470 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 9, SEPTEMBER 1997 A Low-Power, High-Speed, Current-Feedback Op-Amp with a Novel Class AB High Current Output Stage Jim Bales Abstract A complementary

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 8: Charge Pump Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda HW2 is due Oct 6 Exam 1 is

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY [Alsibai, 2(4): April, 2013] ISSN: 2277-9655 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Floating-Gate MOSFET Based Tunable Voltage Differencing Transconductance Amplifier

More information

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows Unit 3 BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows 1.Specification (problem definition) 2.Schematic(gate level design) (equivalence check) 3.Layout (equivalence

More information

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 6 Combinational CMOS Circuit and Logic Design Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Advanced Reliable Systems (ARES) Lab. Jin-Fu Li,

More information

Designing Nano Scale CMOS Adaptive PLL to Deal, Process Variability and Leakage Current for Better Circuit Performance

Designing Nano Scale CMOS Adaptive PLL to Deal, Process Variability and Leakage Current for Better Circuit Performance International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 1, Issue 3, June 2014, PP 18-30 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org Designing

More information

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs International Journal of Research in Engineering and Innovation Vol-1, Issue-6 (2017), 60-64 International Journal of Research in Engineering and Innovation (IJREI) journal home page: http://www.ijrei.com

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6 26.6 40Gb/s Amplifier and ESD Protection Circuit in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi University of California, Los Angeles, CA Optical

More information

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem A report Submitted to Canopus Systems Inc. Zuhail Sainudeen and Navid Yazdi Arizona State University July 2001 1. Overview

More information

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS Marc van Heijningen, John Compiet, Piet Wambacq, Stéphane Donnay and Ivo Bolsens IMEC

More information

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop

Taheri: A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Engineering, Technology & Applied Science Research Vol. 7, No. 2, 2017, 1473-1477 1473 A 4-4.8GHz Adaptive Bandwidth, Adaptive Jitter Phase Locked Loop Hamidreza Esmaeili Taheri Department of Electronics

More information

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 380 391 A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator Seok KIM 1, Seung-Taek YOO 1,2,

More information

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY

DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY DESIGN AND PERFORMANCE VERIFICATION OF CURRENT CONVEYOR BASED PIPELINE A/D CONVERTER USING 180 NM TECHNOLOGY Neha Bakawale Departmentof Electronics & Instrumentation Engineering, Shri G. S. Institute of

More information

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017

The Use and Design of Synchronous Mirror Delays. Vince DiPuccio ECG 721 Spring 2017 The Use and Design of Synchronous Mirror Delays Vince DiPuccio ECG 721 Spring 2017 Presentation Overview Synchronization circuit Topologies covered in class PLL and DLL pros and cons Synchronous mirror

More information

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier Hugo Serra, Nuno Paulino, and João Goes Centre for Technologies and Systems (CTS) UNINOVA Dept. of Electrical Engineering

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R RW 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Design of 10-bit current steering DAC with binary and segmented architecture

Design of 10-bit current steering DAC with binary and segmented architecture IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 13, Issue 3 Ver. III (May. June. 2018), PP 62-66 www.iosrjournals.org Design of 10-bit current

More information

Design and Simulation of Voltage-Mode and Current-Mode Class-D Power Amplifiers for 2.4 GHz Applications

Design and Simulation of Voltage-Mode and Current-Mode Class-D Power Amplifiers for 2.4 GHz Applications Design and Simulation of Voltage-Mode and Current-Mode Class-D Power Amplifiers for 2.4 GHz Applications Armindo António Barão da Silva Pontes Abstract This paper presents the design and simulations of

More information

Power And Area Optimization of Pulse Latch Shift Register

Power And Area Optimization of Pulse Latch Shift Register International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 12, Issue 6 (June 2016), PP.41-45 Power And Area Optimization of Pulse Latch Shift

More information

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter University of Pennsylvania ScholarlyCommons epartmental Papers (ESE) epartment of Electrical & Systems Engineering 7-1-2003 A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS 2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS November 30 - December 3, 2008 Venetian Macao Resort-Hotel Macao, China IEEE Catalog Number: CFP08APC-USB ISBN: 978-1-4244-2342-2 Library of Congress:

More information

An accurate track-and-latch comparator

An accurate track-and-latch comparator An accurate track-and-latch comparator K. D. Sadeghipour a) University of Tabriz, Tabriz 51664, Iran a) dabbagh@tabrizu.ac.ir Abstract: In this paper, a new accurate track and latch comparator circuit

More information

A 2V Rail-to-Rail Micropower CMOS Comparator.

A 2V Rail-to-Rail Micropower CMOS Comparator. A 2V Rail-to-Rail Micropower CMOS Comparator. M. Barú, O. de Oliveira, F. Silveira. Instituto de Ingeniería Eléctrica Universidad de la República Casilla de Correos 30 Montevideo, Uruguay. Tel: +598 2

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

Two- Path Band- Pass Σ- Δ Modulator with 40- MHz IF 72- db DR at 1- MHz Bandwidth Consuming 16 mw

Two- Path Band- Pass Σ- Δ Modulator with 40- MHz IF 72- db DR at 1- MHz Bandwidth Consuming 16 mw I. Galdi, E. Bonizzoni, F. Maloberti, G. Manganaro, P. Malcovati: "Two-Path Band- Pass Σ-Δ Modulator with 40-MHz IF 72-dB DR at 1-MHz Bandwidth Consuming 16 mw"; 33rd European Solid State Circuits Conf.,

More information