Keywords: Speed binning, delay measurement hardware, process variation.

Size: px
Start display at page:

Download "Keywords: Speed binning, delay measurement hardware, process variation."

Transcription

1 A Novel On-chp Measurement Hardware for Effcent Speed-Bnnng A. Raychowdhury, S. Ghosh, and K. Roy Department of ECE, Purdue Unversty, IN {araycho, ghosh3, Abstract Wth the aggressve scalng of the CMOS technology parametrc varaton of the transstor threshold voltage causes sgnfcant spread n the crcut delay as well as leakage spectrum. Consequently, speed bnnng of the hgh performance VLSI chps s essental and t costs sgnfcant amount of test applcaton tme. Further, the knowledge of the actual delay n the crtcal path of the crcut enables effcent use of typcal low power methodologes e.g., voltage scalng, adaptve body basng etc. In ths paper, we have proposed a novel on-chp, low overhead and process tolerant delay measurement crcut whch can estmate the crtcal path delay n a sngle clock perod. Ths has the advantage of effcent on-chp speed bnnng. Keywords: Speed bnnng, delay measurement hardware, process varaton. I. Introducton Systematc as well as random varatons n the process parameters have posed serous challenges to future hgh performance mcroprocessor desgn. Varatons n length, oxde thckness and random dopant effects n nano-scaled transstors result n sgnfcant fluctuatons n the transstor threshold voltage (V T ). Ths can be from one de to another (nter-de) as well as wthn de (ntra-de). Consequently, the spread n delay s consderable and a 3% frequency dstrbuton s typcally estmated [1]. Ths varaton n frequency has ntroduced the concept of frequency bnnng. On one hand, some of the chps are faster (low-v T ) than the nomnal and they tend to support hgher clock frequences at a system level. These chps add sgnfcantly to the proft margn. On the other hand, some of the hgh V T chps are er than the nomnal but they can be used at lower clock speeds. Thus t s essental to effcently perform speed bnnng, not only to earn extra proft for the hgher performance chps but also to salvage the er but non-faulty chps n a possble gono-go stuaton. Speed bnnng has, thus, emerged as an ndspensable part of delay fault testng. Tradtonally, speed bnnng s perfor by ncreasng the clock frequency of the crtcal path secton (or, ts replca) of the crcut tll t fals. Ths process s expensve n terms of test applcaton tme and desgn complexty of the test hardware. In ths paper, we propose a novel crcut to effcently speed bn a hgh performance processor n a sngle clock cycle by drectly measurng the delay of ts crtcal path. We have desgned a low-overhead, process tolerant delay measurement hardware (DMH) that can detect the bn that a partcular chp belongs to. Conventonally, speed bnnng as well as adaptve technques (e.g. body basng, dynamc voltage scalng) are perfor on crtcal path replcas [2]. In our methodology, we have used a smlar technque. Consequently, the nserton of DMH does not load the crtcal path of the crcut. The replca crcut tracks nterde varatons effcently and smulaton results show that even under hgh ntra-de varatons the technque can correctly bn the crcut wth more than 96% confdence. The output of the DMH s a dgtal word that represents the bn that the crcut belongs to. The novelty les n the fact that the DMH can detect the speed bn n a sngle clock cycle thereby savng valuable test applcaton tme. The organzaton of ths paper s as follows. Secton II descrbes the operaton of DMH for effcent speed bnnng. The ndvdual blocks of DMH are descrbed n Secton III. In Secton IV, we have demonstrated the need of speed bnnng due to process varaton. The expermental setup for performng speed bnnng wth the proposed DMH s explaned n Secton V. The effect of parametrc varaton on speed bnnng s studed n Secton VI. Fnally, conclusons are drawn n Secton VII. II. Methodology Before gong nto the detaled descrpton of the delay measurement hardware, t wll be worthwhle to menton the prncple of operaton of the DMH. Let us assume that the crtcal path s a combnatonal logc block wth a state nput comng from flp-flop FF1 and the output gong to FF2 as shown n Fg. 1. Frst, we replcate the crtcal path of the crcut and nstead of a flp-flop we place the DMH at ts end. Secondly, the replcated crtcal path s senstzed usng test patterns appled by the Bult-n Self- Test (BIST). The BIST s clocked by the system clock and the test pattern s launched at T1. Let us assume that node X (output of the crtcal path) makes a fallng transton from a logc one to a logc zero and T D s the tme nterval between the clock edge T1 and the tme when the voltage at node X makes a fallng transton (Fg. 2). Proceedngs of the 11th IEEE Internatonal On-Lne Testng Symposum (IOLTS 5) 1

2 FLASH ADC FF 1 BIST Logc CRITICAL PATH CRITICAL PATH REPLICA TAH Sawtooth Generator X Comparator C3 fast V CE Comparator C2 Table 1: Flash ADC outputs and correspondng speed bns fast Medum Slow est bn1 1 bn2 1 1 bn We propose the system llustrated n Fg. 1 for estmatng the delay, T D. A sawtooth generator s so desgned that the sawtooth waveform s extracted from the reference clock tself and t has pulse duraton equal to the tme perod (T) of the reference clock. The output of the sawtooth generator goes nto a track-and-hold crcut (TAH) and the samplng swtch of the TAH s controlled by the observaton node (X). As long as the node X s hgh, the TAH swtch s on and the output of the TAH tracks the sawtooth waveform. When X makes a fallng transton, t turns the TAH swtch off and the output capactor of the TAH holds ts value (say, V TAH ). The greater the delay T D s, the lower s V TAH. The value of V TAH can be used to estmate the speed bn of the bn3 bn2 V CE Comparator C1 V Fg. 1: Speed bnnng archtecture usng the proposed DMH. Node X Sawtooth TAH CE T D T Fg. 2: Tmng dagram of the DMH. FF 2 V CE bn1 Sawtooth fast V ref V ref V ref V OL Fgure 3: Speed bns and correspondng T max. crcut. The TAH drves a flash analog-to-dgtal comparator (ADC). The flash ADC conssts of three comparators C1, C2 and C3. The output of the comparators.e., bn1, bn2 and bn3, ndcates the speed bn of the crcut. As evdent from Fg. 3, we have dvded the speed nto four bns, namely, fast, um, and est. The chps belongng to the est bn are dscarded. Table 1 shows the outputs of the flash ADC correspondng to each speed bn. The reference voltages, ( V, V ref ref andv fast ref ) are the three nputs to the flash ADC. Consderng a lnearly fallng sawtooth waveform, the reference voltages can be estmated as: T T V VDD 1 VOL (1) T T where T s the clock perod and represents the bn,.e.;, or fast. Here, the sawtooth waveform s assu to be between V DD and V OL and T represents the maxmum allowable delay of the th bn. Thus T also represents the lower delay threshold of the th bn. For example, T represents the maxmum delay that a crcut may have to be placed n the um frequency dn. Thus, t represents the boundary between the um and the bns. Mathematcally: T FAST MEDIUM max delay of bn (2) The concept of T max determnaton for a partcular bn s llustrated n Fg. 3. The boundares between dfferent bns are shown wth bold lnes. The ADC evaluates at the next clock cycle when the Comparator-Enable (CE) sgnal goes hgh (Fg. 2). For the crtcal path replca to belong to the th bn, we requre: V V (3) TAH When (3) s true, the comparator output goes HIGH (logcal 1 ). Here, we have dscussed the case when X makes a fallng transton. Snce we perform the speed bnnng n the test cycle we can decde a-pror what nput vector can excte a hgh to low transton at node X and apply t correspondngly. Also, note that the proposed SLOW SLOWEST T fast T T Proceedngs of the 11th IEEE Internatonal On-Lne Testng Symposum (IOLTS 5) 2

3 V DD V DD T FF _2T M 2 C out M1 Vbas OUT 2TZ (a) V TAH_OUT V SZ CE (a) IN OUT V DD RESET I 1 I 2 I ref1 I ref2 S C HOLD (b) Fg. 4: Schematc dagrams (a) Sawtooth Generator; (b) Track-and-Hold (TAH) crcut. speed bnnng methodology can be easly extended to N number of bns. A D1 D2 B R 1 R Vref1 Rref1 V ref2 R ref2 III. Desgn of the ndvdual DMH blocks Sawtooth generator: The sawtooth generator s based on the prncple of constant current dscharge. The schematc dagram of the sawtooth generator s shown n Fg. 4a. A T flp-flop s used to generate a clock (_2T) wth a perod equal to twce the perod of the reference system clock. Consder that the node OUT n Fg. 2a s precharged to V DD when _2T s low. When _2T goes hgh (_2TZ goes low) the constant supply voltage (V DD ) provdes a constant current through the NMOS M1. Ths current dscharges the capactor C lnearly as long as M1 s n saturaton. Durng ths phase the PMOS M2 remans off and the output node shows a lnearly fallng waveform. At the end of the clock perod, the _2TZ sgnal goes hgh. Ths creates a low resstve path across the capactor through M2 and thus helps to charge OUT back to V DD. The gate voltage V bas of M1 provdes the requred current n the saturaton regon. The dscharge s lnear (gnorng Early effect) as long as M1 s n the saturaton regon. Hence we requre V ds >= V bas -V t. To ensure ths, the output node s allowed to dscharge tll V OL =V bas -V t (chosen to be 18mV, n ths case) n a sngle clock perod. Track-and-Hold network: The track-and-hold network for the crcut s a complementary pass transstor swtch (b) Fg. 5: The schematc dagram of the (a) comparator; (b) reference voltage generator. wth a capactve load (Fg. 4b). The voltage at the observaton pont X s the nput sgnal, S to the TAH. As long as S s hgh t wll charge the capactor, C HOLD. The value of the capactor n our desgn s 1fF. To dscharge the capactor before the next delay measurement, an NMOS swtch, trggered by a RESET sgnal s used n parallel wth C HOLD. The RESET pulse s generated after the comparson between V and V TAH has been made. It s also worth-mentonng, that the samplng swtch has been made a complementary one to avod charge njecton and clock feed-through [4]. Flash ADC: The flash ADC s the fastest ADC whch parallely compares the nput to a set of reference voltages. In the proposed desgn, we have used a 3-bt ADC. The ADC comprses of three comparators. The comparator used here s a latch-based sense amplfer, as been llustrated n Fg. 5a. The value of the sgnal at node TAH_OUT goes nto the comparator nput. After the trackand-hold phase, CE goes hgh and the output of the comparator s noted n the next clock cycle. The three Proceedngs of the 11th IEEE Internatonal On-Lne Testng Symposum (IOLTS 5) 3

4 comparator outputs form the ADC output word whch represents the speed bn of the crcut as gven n Table 1. The reference voltages of flash ADC are calculated by usng (1) and assumng T fast = N 1 Dm; T = N 2 Dm; (4) T = N 3 Dm; T = N 4 Dm; Where D m s the mean delay of the crtcal path of the crcut and N s determne the tmng boundares between bns. In our smulatons, we have chosen reasonable values of N s, namely, N 1 =.75, N 2 = 1.1, N 3 = 1.3 and N 4 = 1.6. In other words, N 1 =.75 means that all chps whose max crtcal path delays are less than 75% of the nomnal desgn are called fast chps. Smlarly all chps whose max delay s between 75% (N 1 ) and 11% (N 2 ) of the nomnal delay are called the um frequency chps. Fnally, f the chp has a delay of more than 1.3 tmes of the nomnal delay (N 3 D m ) then we dscard the chp as a faulty one. Note that n the test phase the test clock has a tme perod whch s 6% more than that of the nomnal clock perod. Ths ensures that the chps that are non-faulty but er than the nomnal desgn are properly bnned and can be used. Generaton of reference voltages In the proposed DMH, the stable voltage references (V ref s) for the DMH have been desgned based on the desgn of band-gap reference voltage. Ths has been llustrated n Fg. 5b. The prncple of operaton of sub 1V bandgap reference crcut s descrbed n [5]. Ths has been sutably modfed and used n the proposed DMH. The opamp equalzes the voltage between nodes A and B. Hence all the PMOS transstors at the top have the same V gs and hence they mrror the same current. I 1 = I 2 = I 3 = I ref1 = I ref2 =. (5) Let V d be the voltage across the dode D 1. It has a negatve temperature coeffcent (NTC). dv s the voltage dfference between dode D 1 and dode D 2 (of area N tmes that of D 1 ) and hence dv = V T ln(n), where V T s the thermal voltage havng a postve temperature coeffcent (PTC). The total current I 2 s: VB Vd Vd dv I2 R R1 R R (6) 1 Due to the current mrror, the same current s pumped nto the reference voltage generator arm. The output reference voltage of the th arm s thus: Vd VT ln( N) Vref () Rref () (7) R R1 N s chosen such that the net temperature coeffcent s zero. Note that, any voltage can be generated by changng the value of R ref. Several dfferent arms have been shown n the crcut below. Further, the output voltage s not dependent on transstor parameters. Even under de-to-de parameter varatons, the reference generator wll delver a stable reference voltage. It can be mentoned that bandgap reference usually forms an ntegral part of all mxed-sgnal and dgtal crcuts. We can use the bandgap reference already present n the crcut and we can add the reference generator arms to t to obtan a wde range of temperature nsenstve and stable voltage references. Ths wll reduce the area overhead nvolved wth the generaton of stable reference voltages. If the bandgap reference s not already present, we can use one bandgap reference (as n Fg. 5b) and share t for all reference voltages. Impact of process varaton It has been mentoned that we generate process varaton tolerant reference voltages usng a modfed bandgap reference. The other mportant DMH block that can be affected by process varaton s the sawtooth generator. Process varaton changes the dscharge rate of the capactor C and hence, mpacts V OL and the choce of V. To compensate for ths, we propose an ntal calbraton cycle where the capactor C s trm dependng on the process corner, thereby ensurng a lnear dscharge from V dd to V OL across all des. The TAH crcut s a transmsson gate wth large-szed transstors. Hence, process varaton cannot consderably mpact functonalty or performance of ths block. Fnally, the comparator n the ADC s dfferental n nature and de-tode varaton cannot mpact ts functonalty. Further, latchbased comparators, tolerant to wthn-de varaton, have been reported n [6] and extensvely studed n the desgn of our proposed DMH. IV. varatons: Necessty of Speed bnnng It has already been mentoned that the process varaton manfests tself as chp speed varaton n nanometer desgns [1]. We have studed a number of benchmark crcuts and they show sgnfcant spread n crtcal path delays. Fg. 6 shows the crtcal path delay dstrbuton of some ISCAS 89 benchmarks under process varaton. All the benchmarks have been modeled n HSPICE usng the BPTM [7] 7nm technology node. In our study we have assu an nter-de V T varaton wth =25% and ntrade varaton wth =15%. From Fg. 6 we can note that on an average the standard devaton () of the crtcal path delay s approxmately 27% of the nomnal delay. Ths consoldates the argument that speed bnnng n nanoscaled desgns s absolutely necessary. V. Expermental setup To explan the expermental setup let us consder one of the benchmark crcuts, namely, s838. Frst, we extracted ts crtcal usng Synopsys prmetme tool. Next the test pattern, to senstze the crtcal path, s obtaned usng the Proceedngs of the 11th IEEE Internatonal On-Lne Testng Symposum (IOLTS 5) 4

5 Number of chps Number of chps 5 Number of chps x x x 1 1 (a) s838 (b) s1196 (c) s Numbre of chps Number of chps Number of chps x x x 1 1 (d) s1327 (e) s1585 (f) s35932 Fg. 6: spread of ISCAS 89 benchmark crcuts w.r.t. number of chps due to parametrc varatons Synopsys Tetramax [8] ATPG tool. The entre DMH and benchmark has then been smulated n HSPICE at the 7nm technology node. We have appled the test pattern obtaned from Tetramax to both the orgnal crcut and the replcated crtcal path. The smulaton result from the spce s depcted n Fg. 7. The bn nformaton output of the DMH s observed at the end of test cycle and verfed for correctness wth the exact delay of the orgnal crtcal path. It can be notced that there s a fallng transton at the output node X of replcated crtcal path. The delay of the crtcal path falls nto um speed category whch s verfed by the DMH outputs.e. bn1, bn2 and bn3. suffers from ntra-de varaton. VI. Effect of varaton If there are no ntra-de varatons then both the orgnal as well as the crtcal path replcas would have dentcal delays and the speed bnnng would be perfect. However, ntra-de varatons tend to produce delay skew between the actual crtcal path and the crtcal path replca. Therefore, there can be chances of bn mspredcton f the replcated crtcal path and orgnal crtcal path severely Table 2: Bn predcton usng DMH for 1 runs Crcut Bn (correct) Bn Correct (mspredcted) predcton (%) s s s s s s Fg. 7: Spce smulaton of s838 usng DMH and bn determnaton. DMH outputs (bn1, bn2, bn3) = (, 1, 1) ndcates that the crcut falls under um speed category. Proceedngs of the 11th IEEE Internatonal On-Lne Testng Symposum (IOLTS 5) 5

6 Table 3: Bn predcton of s838 for 1 process condtons Smulaton # Actual bn Predcted bn bn=1 s est, bn=2 s, bn=3 s um and bn=4 s fast. In stuatons where the crcut speed s at the boundary of two neghborng bns, the chances of mspredcton ncreases due to varaton n crcut delay owng to process fluctuatons. To study the effect of process fluctuatons on bn predcton, we smulated each of the benchmark crcuts for 1 dfferent process condtons. Smulaton results are shown n Table 2. It can be observed that the chances of correct bn predcton under severe nter- and ntra-chp varatons are approxmately 96% on an average. Note that the DMH offers two gate capactance loads at the end of the crtcal path replca nstead of two dffuson cap loads of the flp flop at the end of the actual crtcal path. Further, we provde some extra threshold whle estmatng the reference voltages V ref for bn boundary determnaton. Hence, our bn predcton s pessmstc due to extra loadng of DMH. Therefore, the faulty chps (under est category) cannot pass through to consumers. Further, the mspredcton occurs when the chp under consderaton s at the boundary of two bns. Ths s llustrated n Table 3, where we have smulated benchmark s838 for 1 dfferent process condtons and compared the correct and predcted bn The ms-predcted chp was found to be at the boundary of est and bn. 7. Berkeley Predctve Technology Models: 8. Synopsys Inc., Tetramax ATPG, VII. Conclusons In ths paper, we have proposed a novel on-chp, low overhead and process tolerant delay measurement crcut whch can estmate the crtcal path delay n a sngle clock perod. Ths has the advantage of effcent on-chp speed bnnng. Smulaton results have shown an average of 96% correct bn predcton even under severe nter- and ntrachp varatons. References: 1. S. Borkar et al, Parameter varatons and mpact on crcuts and mcroarchtecture, DAC, pp , J. W. Tshanz et al, Adaptve body bas for reducng de-to de and wthn-de parameter varatons on mcroprocessor frequency and leakage, IEEE JSSC, pp , N. Dragone et al., An adaptve on-chp voltage regulaton technque for low-power applcatons, ISLPED, pp. 2-24, B. Razav, Desgn of Analog CMOS Integrated Crcuts, McGraw Hll, USA, Banba et al., A CMOS bandgap reference crcut wth sub-1-v operaton, IEEE JSSC, pp , Sarpeshkar et al., Msmatch senstvty of a smultaneously latched CMOS sense amplfer, IEEE JSSC, pp , Proceedngs of the 11th IEEE Internatonal On-Lne Testng Symposum (IOLTS 5) 6

IEE Electronics Letters, vol 34, no 17, August 1998, pp ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES

IEE Electronics Letters, vol 34, no 17, August 1998, pp ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES IEE Electroncs Letters, vol 34, no 17, August 1998, pp. 1622-1624. ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES A. Chatzgeorgou, S. Nkolads 1 and I. Tsoukalas Computer Scence Department, 1 Department

More information

High Speed ADC Sampling Transients

High Speed ADC Sampling Transients Hgh Speed ADC Samplng Transents Doug Stuetzle Hgh speed analog to dgtal converters (ADCs) are, at the analog sgnal nterface, track and hold devces. As such, they nclude samplng capactors and samplng swtches.

More information

ECE315 / ECE515 Lecture 5 Date:

ECE315 / ECE515 Lecture 5 Date: Lecture 5 Date: 18.08.2016 Common Source Amplfer MOSFET Amplfer Dstorton Example 1 One Realstc CS Amplfer Crcut: C c1 : Couplng Capactor serves as perfect short crcut at all sgnal frequences whle blockng

More information

To: Professor Avitabile Date: February 4, 2003 From: Mechanical Student Subject: Experiment #1 Numerical Methods Using Excel

To: Professor Avitabile Date: February 4, 2003 From: Mechanical Student Subject: Experiment #1 Numerical Methods Using Excel To: Professor Avtable Date: February 4, 3 From: Mechancal Student Subject:.3 Experment # Numercal Methods Usng Excel Introducton Mcrosoft Excel s a spreadsheet program that can be used for data analyss,

More information

A High-Sensitivity Oversampling Digital Signal Detection Technique for CMOS Image Sensors Using Non-destructive Intermediate High-Speed Readout Mode

A High-Sensitivity Oversampling Digital Signal Detection Technique for CMOS Image Sensors Using Non-destructive Intermediate High-Speed Readout Mode A Hgh-Senstvty Oversamplng Dgtal Sgnal Detecton Technque for CMOS Image Sensors Usng Non-destructve Intermedate Hgh-Speed Readout Mode Shoj Kawahto*, Nobuhro Kawa** and Yoshak Tadokoro** *Research Insttute

More information

Uncertainty in measurements of power and energy on power networks

Uncertainty in measurements of power and energy on power networks Uncertanty n measurements of power and energy on power networks E. Manov, N. Kolev Department of Measurement and Instrumentaton, Techncal Unversty Sofa, bul. Klment Ohrdsk No8, bl., 000 Sofa, Bulgara Tel./fax:

More information

antenna antenna (4.139)

antenna antenna (4.139) .6.6 The Lmts of Usable Input Levels for LNAs The sgnal voltage level delvered to the nput of an LNA from the antenna may vary n a very wde nterval, from very weak sgnals comparable to the nose level,

More information

Figure 1. DC-DC Boost Converter

Figure 1. DC-DC Boost Converter EE46, Power Electroncs, DC-DC Boost Converter Verson Oct. 3, 11 Overvew Boost converters make t possble to effcently convert a DC voltage from a lower level to a hgher level. Theory of Operaton Relaton

More information

Control of Chaos in Positive Output Luo Converter by means of Time Delay Feedback

Control of Chaos in Positive Output Luo Converter by means of Time Delay Feedback Control of Chaos n Postve Output Luo Converter by means of Tme Delay Feedback Nagulapat nkran.ped@gmal.com Abstract Faster development n Dc to Dc converter technques are undergong very drastc changes due

More information

Latency Insertion Method (LIM) for IR Drop Analysis in Power Grid

Latency Insertion Method (LIM) for IR Drop Analysis in Power Grid Abstract Latency Inserton Method (LIM) for IR Drop Analyss n Power Grd Dmtr Klokotov, and José Schutt-Ané Wth the steadly growng number of transstors on a chp, and constantly tghtenng voltage budgets,

More information

NATIONAL RADIO ASTRONOMY OBSERVATORY Green Bank, West Virginia SPECTRAL PROCESSOR MEMO NO. 25. MEMORANDUM February 13, 1985

NATIONAL RADIO ASTRONOMY OBSERVATORY Green Bank, West Virginia SPECTRAL PROCESSOR MEMO NO. 25. MEMORANDUM February 13, 1985 NATONAL RADO ASTRONOMY OBSERVATORY Green Bank, West Vrgna SPECTRAL PROCESSOR MEMO NO. 25 MEMORANDUM February 13, 1985 To: Spectral Processor Group From: R. Fsher Subj: Some Experments wth an nteger FFT

More information

Figure 1. DC-DC Boost Converter

Figure 1. DC-DC Boost Converter EE36L, Power Electroncs, DC-DC Boost Converter Verson Feb. 8, 9 Overvew Boost converters make t possble to effcently convert a DC voltage from a lower level to a hgher level. Theory of Operaton Relaton

More information

Figure.1. Basic model of an impedance source converter JCHPS Special Issue 12: August Page 13

Figure.1. Basic model of an impedance source converter JCHPS Special Issue 12: August Page 13 A Hgh Gan DC - DC Converter wth Soft Swtchng and Power actor Correcton for Renewable Energy Applcaton T. Selvakumaran* and. Svachdambaranathan Department of EEE, Sathyabama Unversty, Chenna, Inda. *Correspondng

More information

Graph Method for Solving Switched Capacitors Circuits

Graph Method for Solving Switched Capacitors Circuits Recent Advances n rcuts, ystems, gnal and Telecommuncatons Graph Method for olvng wtched apactors rcuts BHUMIL BRTNÍ Department of lectroncs and Informatcs ollege of Polytechncs Jhlava Tolstého 6, 586

More information

PRACTICAL, COMPUTATION EFFICIENT HIGH-ORDER NEURAL NETWORK FOR ROTATION AND SHIFT INVARIANT PATTERN RECOGNITION. Evgeny Artyomov and Orly Yadid-Pecht

PRACTICAL, COMPUTATION EFFICIENT HIGH-ORDER NEURAL NETWORK FOR ROTATION AND SHIFT INVARIANT PATTERN RECOGNITION. Evgeny Artyomov and Orly Yadid-Pecht 68 Internatonal Journal "Informaton Theores & Applcatons" Vol.11 PRACTICAL, COMPUTATION EFFICIENT HIGH-ORDER NEURAL NETWORK FOR ROTATION AND SHIFT INVARIANT PATTERN RECOGNITION Evgeny Artyomov and Orly

More information

High Speed, Low Power And Area Efficient Carry-Select Adder

High Speed, Low Power And Area Efficient Carry-Select Adder Internatonal Journal of Scence, Engneerng and Technology Research (IJSETR), Volume 5, Issue 3, March 2016 Hgh Speed, Low Power And Area Effcent Carry-Select Adder Nelant Harsh M.tech.VLSI Desgn Electroncs

More information

Digital Transmission

Digital Transmission Dgtal Transmsson Most modern communcaton systems are dgtal, meanng that the transmtted normaton sgnal carres bts and symbols rather than an analog sgnal. The eect o C/N rato ncrease or decrease on dgtal

More information

TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS TN TERMINATON FOR POINT-TO-POINT SYSTEMS. Zo = L C. ω - angular frequency = 2πf

TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS TN TERMINATON FOR POINT-TO-POINT SYSTEMS. Zo = L C. ω - angular frequency = 2πf TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS INTRODUCTION Because dgtal sgnal rates n computng systems are ncreasng at an astonshng rate, sgnal ntegrty ssues have become far more mportant to

More information

Dynamic Optimization. Assignment 1. Sasanka Nagavalli January 29, 2013 Robotics Institute Carnegie Mellon University

Dynamic Optimization. Assignment 1. Sasanka Nagavalli January 29, 2013 Robotics Institute Carnegie Mellon University Dynamc Optmzaton Assgnment 1 Sasanka Nagavall snagaval@andrew.cmu.edu 16-745 January 29, 213 Robotcs Insttute Carnege Mellon Unversty Table of Contents 1. Problem and Approach... 1 2. Optmzaton wthout

More information

A Comparison of Two Equivalent Real Formulations for Complex-Valued Linear Systems Part 2: Results

A Comparison of Two Equivalent Real Formulations for Complex-Valued Linear Systems Part 2: Results AMERICAN JOURNAL OF UNDERGRADUATE RESEARCH VOL. 1 NO. () A Comparson of Two Equvalent Real Formulatons for Complex-Valued Lnear Systems Part : Results Abnta Munankarmy and Mchael A. Heroux Department of

More information

MASTER TIMING AND TOF MODULE-

MASTER TIMING AND TOF MODULE- MASTER TMNG AND TOF MODULE- G. Mazaher Stanford Lnear Accelerator Center, Stanford Unversty, Stanford, CA 9409 USA SLAC-PUB-66 November 99 (/E) Abstract n conjuncton wth the development of a Beam Sze Montor

More information

Vectorless Analysis of Supply Noise Induced Delay Variation

Vectorless Analysis of Supply Noise Induced Delay Variation Vectorless Analyss of Supply Nose Induced Delay Varaton Sanjay Pant *, Davd Blaauw *, Vladmr Zolotov **, Savthr Sundareswaran **, Rajendran Panda ** {spant,blaauw}@umch.edu, {vladmr.zolotov,savthr.sundareswaran,rajendran.panda}@motorola.com

More information

Calculation of the received voltage due to the radiation from multiple co-frequency sources

Calculation of the received voltage due to the radiation from multiple co-frequency sources Rec. ITU-R SM.1271-0 1 RECOMMENDATION ITU-R SM.1271-0 * EFFICIENT SPECTRUM UTILIZATION USING PROBABILISTIC METHODS Rec. ITU-R SM.1271 (1997) The ITU Radocommuncaton Assembly, consderng a) that communcatons

More information

Adaptive System Control with PID Neural Networks

Adaptive System Control with PID Neural Networks Adaptve System Control wth PID Neural Networs F. Shahra a, M.A. Fanae b, A.R. Aromandzadeh a a Department of Chemcal Engneerng, Unversty of Sstan and Baluchestan, Zahedan, Iran. b Department of Chemcal

More information

SRAM Leakage Suppression by Minimizing Standby Supply Voltage

SRAM Leakage Suppression by Minimizing Standby Supply Voltage SRAM Leakage Suppresson by Mnmzng Standby Supply Voltage Hufang Qn, Yu ao, Dejan Markovc, Andre Vladmrescu, and Jan Rabaey Department of EES, Unversty of alforna at Berkeley, Berkeley, A 947, USA Abstract

More information

Multiple Error Correction Using Reduced Precision Redundancy Technique

Multiple Error Correction Using Reduced Precision Redundancy Technique Multple Error Correcton Usng Reduced Precson Redundancy Technque Chthra V 1, Nthka Bhas 2, Janeera D A 3 1,2,3 ECE Department, Dhanalakshm Srnvasan College of Engneerng,Combatore, Tamlnadu, Inda Abstract

More information

Harmonic Balance of Nonlinear RF Circuits

Harmonic Balance of Nonlinear RF Circuits MICROWAE AND RF DESIGN Harmonc Balance of Nonlnear RF Crcuts Presented by Mchael Steer Readng: Chapter 19, Secton 19. Index: HB Based on materal n Mcrowave and RF Desgn: A Systems Approach, nd Edton, by

More information

Shunt Active Filters (SAF)

Shunt Active Filters (SAF) EN-TH05-/004 Martt Tuomanen (9) Shunt Actve Flters (SAF) Operaton prncple of a Shunt Actve Flter. Non-lnear loads lke Varable Speed Drves, Unnterrupted Power Supples and all knd of rectfers draw a non-snusodal

More information

A Mathematical Solution to Power Optimal Pipeline Design by Utilizing Soft Edge Flip-Flops

A Mathematical Solution to Power Optimal Pipeline Design by Utilizing Soft Edge Flip-Flops A Mathematcal Soluton to Power Optmal Ppelne Desgn by Utlzng Soft Edge Flp-Flops Mohammad Ghasemazar, Behnam Amelfard and Massoud Pedram Unversty of Southern Calforna Department of Electrcal Engneerng

More information

29. Network Functions for Circuits Containing Op Amps

29. Network Functions for Circuits Containing Op Amps 9. Network Functons for Crcuts Contanng Op Amps Introducton Each of the crcuts n ths problem set contans at least one op amp. Also each crcut s represented by a gven network functon. These problems can

More information

Efficient Large Integers Arithmetic by Adopting Squaring and Complement Recoding Techniques

Efficient Large Integers Arithmetic by Adopting Squaring and Complement Recoding Techniques The th Worshop on Combnatoral Mathematcs and Computaton Theory Effcent Large Integers Arthmetc by Adoptng Squarng and Complement Recodng Technques Cha-Long Wu*, Der-Chyuan Lou, and Te-Jen Chang *Department

More information

Rejection of PSK Interference in DS-SS/PSK System Using Adaptive Transversal Filter with Conditional Response Recalculation

Rejection of PSK Interference in DS-SS/PSK System Using Adaptive Transversal Filter with Conditional Response Recalculation SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol., No., November 23, 3-9 Rejecton of PSK Interference n DS-SS/PSK System Usng Adaptve Transversal Flter wth Condtonal Response Recalculaton Zorca Nkolć, Bojan

More information

HIGH PERFORMANCE ADDER USING VARIABLE THRESHOLD MOSFET IN 45NM TECHNOLOGY

HIGH PERFORMANCE ADDER USING VARIABLE THRESHOLD MOSFET IN 45NM TECHNOLOGY Internatonal Journal of Electrcal, Electroncs and Computer Systems, (IJEECS) HIGH PERFORMANCE ADDER USING VARIABLE THRESHOLD MOSFET IN 45NM TECHNOLOGY 1 Supryo Srman, 2 Dptendu Ku. Kundu, 3 Saradndu Panda,

More information

CMOS Implementation of Lossy Integrator using Current Mirrors Rishu Jain 1, Manveen Singh Chadha 2 1, 2

CMOS Implementation of Lossy Integrator using Current Mirrors Rishu Jain 1, Manveen Singh Chadha 2 1, 2 Proceedngs of Natonal Conference on Recent Advances n Electroncs and Communcaton Engneerng CMOS Implementaton of Lossy Integrator usng Current Mrrors Rshu Jan, Manveen Sngh Chadha 2, 2 Department of Electroncs

More information

Voltage Quality Enhancement and Fault Current Limiting with Z-Source based Series Active Filter

Voltage Quality Enhancement and Fault Current Limiting with Z-Source based Series Active Filter Research Journal of Appled Scences, Engneerng and echnology 3(): 246-252, 20 ISSN: 2040-7467 Maxwell Scentfc Organzaton, 20 Submtted: July 26, 20 Accepted: September 09, 20 Publshed: November 25, 20 oltage

More information

MOSFET Physical Operation

MOSFET Physical Operation March, 007 MOSFET Physcal Operaton Some fgures of ths presentaton were taken from the nstructonal resources of the followng textbooks: B. Razav, Desgn of Analog CMOS Integrated Crcuts. New York, NY: McGraw

More information

Comparison of V I c control with Voltage Mode and Current Mode controls for high frequency (MHz) and very fast response applications

Comparison of V I c control with Voltage Mode and Current Mode controls for high frequency (MHz) and very fast response applications Comparson of V I c control wth Voltage Mode and Current Mode controls for hgh frequency (MHz) and very fast response applcatons P. Alou, J. A. Olver, V. Svkovc, 0. Garca and J. A. Cobos Abstract Hgh swtchng

More information

MTBF PREDICTION REPORT

MTBF PREDICTION REPORT MTBF PREDICTION REPORT PRODUCT NAME: BLE112-A-V2 Issued date: 01-23-2015 Rev:1.0 Copyrght@2015 Bluegga Technologes. All rghts reserved. 1 MTBF PREDICTION REPORT... 1 PRODUCT NAME: BLE112-A-V2... 1 1.0

More information

A Current Differential Line Protection Using a Synchronous Reference Frame Approach

A Current Differential Line Protection Using a Synchronous Reference Frame Approach A Current Dfferental Lne rotecton Usng a Synchronous Reference Frame Approach L. Sousa Martns *, Carlos Fortunato *, and V.Fernão res * * Escola Sup. Tecnologa Setúbal / Inst. oltécnco Setúbal, Setúbal,

More information

Research of Dispatching Method in Elevator Group Control System Based on Fuzzy Neural Network. Yufeng Dai a, Yun Du b

Research of Dispatching Method in Elevator Group Control System Based on Fuzzy Neural Network. Yufeng Dai a, Yun Du b 2nd Internatonal Conference on Computer Engneerng, Informaton Scence & Applcaton Technology (ICCIA 207) Research of Dspatchng Method n Elevator Group Control System Based on Fuzzy Neural Network Yufeng

More information

Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation

Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation 21st Internatonal Conference on VLSI Desgn Total Power Mnmzaton n Gltch-Free CMOS Crcuts Consderng Process Varaton Yuanln Lu * Intel Corporaton Folsom, CA 95630, USA yuanln.lu@ntel.com Abstract Compared

More information

THE GENERATION OF 400 MW RF PULSES AT X-BAND USING RESONANT DELAY LINES *

THE GENERATION OF 400 MW RF PULSES AT X-BAND USING RESONANT DELAY LINES * SLAC PUB 874 3/1999 THE GENERATION OF 4 MW RF PULSES AT X-BAND USING RESONANT DELAY LINES * Sam G. Tantaw, Arnold E. Vleks, and Rod J. Loewen Stanford Lnear Accelerator Center, Stanford Unversty P.O. Box

More information

Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation

Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation Total Power Mnmzaton n Gltch-Free CMOS Crcuts Consderng Process Varaton Abstract Compared to subthreshold age, dynamc power s normally much less senstve to the process varaton due to ts approxmately lnear

More information

Walsh Function Based Synthesis Method of PWM Pattern for Full-Bridge Inverter

Walsh Function Based Synthesis Method of PWM Pattern for Full-Bridge Inverter Walsh Functon Based Synthess Method of PWM Pattern for Full-Brdge Inverter Sej Kondo and Krt Choesa Nagaoka Unversty of Technology 63-, Kamtomoka-cho, Nagaoka 9-, JAPAN Fax: +8-58-7-95, Phone: +8-58-7-957

More information

Research on Controller of Micro-hydro Power System Nan XIE 1,a, Dezhi QI 2,b,Weimin CHEN 2,c, Wei WANG 2,d

Research on Controller of Micro-hydro Power System Nan XIE 1,a, Dezhi QI 2,b,Weimin CHEN 2,c, Wei WANG 2,d Advanced Materals Research Submtted: 2014-05-13 ISSN: 1662-8985, Vols. 986-987, pp 1121-1124 Accepted: 2014-05-19 do:10.4028/www.scentfc.net/amr.986-987.1121 Onlne: 2014-07-18 2014 Trans Tech Publcatons,

More information

Space Time Equalization-space time codes System Model for STCM

Space Time Equalization-space time codes System Model for STCM Space Tme Eualzaton-space tme codes System Model for STCM The system under consderaton conssts of ST encoder, fadng channel model wth AWGN, two transmt antennas, one receve antenna, Vterb eualzer wth deal

More information

Strain Gauge Measuring Amplifier BA 660

Strain Gauge Measuring Amplifier BA 660 Stran Gauge Measurng Amplfer BA 660 Orgnal of the Manual BA660 / IP20 BA660 / IP66 Table of Contents 1. Safety precautons...2 1.1. Feld of applcaton...2 1.2. Installaton...2 1.3. Mantenance...2 2. Functon...2

More information

Estimating Mean Time to Failure in Digital Systems Using Manufacturing Defective Part Level

Estimating Mean Time to Failure in Digital Systems Using Manufacturing Defective Part Level Estmatng Mean Tme to Falure n Dgtal Systems Usng Manufacturng Defectve Part Level Jennfer Dworak, Davd Dorsey, Amy Wang, and M. Ray Mercer Texas A&M Unversty IBM Techncal Contact: Matthew W. Mehalc, PowerPC

More information

Dual Functional Z-Source Based Dynamic Voltage Restorer to Voltage Quality Improvement and Fault Current Limiting

Dual Functional Z-Source Based Dynamic Voltage Restorer to Voltage Quality Improvement and Fault Current Limiting Australan Journal of Basc and Appled Scences, 5(5): 287-295, 20 ISSN 99-878 Dual Functonal Z-Source Based Dynamc Voltage Restorer to Voltage Qualty Improvement and Fault Current Lmtng M. Najaf, M. Hoseynpoor,

More information

Sensors for Motion and Position Measurement

Sensors for Motion and Position Measurement Sensors for Moton and Poston Measurement Introducton An ntegrated manufacturng envronment conssts of 5 elements:- - Machne tools - Inspecton devces - Materal handlng devces - Packagng machnes - Area where

More information

Learning Ensembles of Convolutional Neural Networks

Learning Ensembles of Convolutional Neural Networks Learnng Ensembles of Convolutonal Neural Networks Lran Chen The Unversty of Chcago Faculty Mentor: Greg Shakhnarovch Toyota Technologcal Insttute at Chcago 1 Introducton Convolutonal Neural Networks (CNN)

More information

Improvement of the Shunt Active Power Filter Dynamic Performance

Improvement of the Shunt Active Power Filter Dynamic Performance Improvement of the Shunt Actve Power Flter Dynamc Performance Krzysztof Potr Sozansk Unversty of Zelona Góra, Faculty of Electrcal Engneerng omputer Scence and Telecommuncatons Zelona Góra, Poland Abstract

More information

Parameter Free Iterative Decoding Metrics for Non-Coherent Orthogonal Modulation

Parameter Free Iterative Decoding Metrics for Non-Coherent Orthogonal Modulation 1 Parameter Free Iteratve Decodng Metrcs for Non-Coherent Orthogonal Modulaton Albert Gullén Fàbregas and Alex Grant Abstract We study decoder metrcs suted for teratve decodng of non-coherently detected

More information

Sizing and Placement of Charge Recycling Transistors in MTCMOS Circuits

Sizing and Placement of Charge Recycling Transistors in MTCMOS Circuits Szng and Placement of Charge Recyclng Transstors n TCOS Crcuts Ehsan Pakbazna Dep. of Electrcal Engneerng Unversty of Southern Calforna Los Angeles, U.S.A. pakbazn@usc.edu Farzan Fallah Fujtsu Labs of

More information

Modeling and Control of a Cascaded Boost Converter for a Battery Electric Vehicle

Modeling and Control of a Cascaded Boost Converter for a Battery Electric Vehicle Modelng and Control of a Cascaded Boost Converter for a Battery Electrc Vehcle A. Ndtoungou, Ab. Hamad, A. Mssandaand K. Al-Haddad, Fellow member, IEEE EPEC 202 OCTOBER 0-2 Introducton contents Comparson

More information

COMPARISON OF VARIOUS RIPPLE CARRY ADDERS: A REVIEW

COMPARISON OF VARIOUS RIPPLE CARRY ADDERS: A REVIEW RPN Journal of Engneerng and ppled Scences 2006-2015 san Research Publshng Network (RPN). ll rghts reserved. COMPRISON OF VRIOUS RIPPLE CRRY DDERS: REVIEW Jmn Cheon School of Electronc Engneerng, Kumoh

More information

Yield Optimisation of Power-On Reset Cells and Functional Verification

Yield Optimisation of Power-On Reset Cells and Functional Verification Yeld Optmsaton of Power-On Reset Cells and Functonal Verfcaton Dpl.-Ing. Olver Esenberger, Dpl.-Ing. Dr. Gerhard Rapptsch, Dpl.-Ing. Stefan Schneder Dpl.-Ing. Dr. Bernd Obermeer*, Dpl.-Ing. Dpl.-Wrtsch.-Ing.

More information

Chapter 13. Filters Introduction Ideal Filter

Chapter 13. Filters Introduction Ideal Filter Chapter 3 Flters 3.0 Introducton Flter s the crcut that capable o passng sgnal rom nput to output that has requency wthn a speced band and attenuatng all others outsde the band. Ths s the property o selectvty.

More information

Power Factor Correction with AC-DC Buck Converter

Power Factor Correction with AC-DC Buck Converter Internatonal Journal of Electrcal Engneerng. IN 09742158 Volume 8, Number 1 (2015), pp. 2938 Internatonal Research Publcaton House http://www.rphouse.com Power Factor Correcton wth ACDC Buck Converter

More information

A Novel Soft-Switching Two-Switch Flyback Converter with a Wide Operating Range and Regenerative Clamping

A Novel Soft-Switching Two-Switch Flyback Converter with a Wide Operating Range and Regenerative Clamping 77 Journal of ower Electroncs, ol 9, No 5, September 009 JE 9-5- A Novel Soft-Swtchng Two-Swtch Flybac Converter wth a Wde Operatng Range and Regeneratve Clampng Marn-Go Km and Young-Seo Jung * Dvson of

More information

Comparative Analysis of Reuse 1 and 3 in Cellular Network Based On SIR Distribution and Rate

Comparative Analysis of Reuse 1 and 3 in Cellular Network Based On SIR Distribution and Rate Comparatve Analyss of Reuse and 3 n ular Network Based On IR Dstrbuton and Rate Chandra Thapa M.Tech. II, DEC V College of Engneerng & Technology R.V.. Nagar, Chttoor-5727, A.P. Inda Emal: chandra2thapa@gmal.com

More information

Simulation Methodology for Analysis of Substrate Noise Impact on Analog / RF Circuits Including Interconnect Resistance

Simulation Methodology for Analysis of Substrate Noise Impact on Analog / RF Circuits Including Interconnect Resistance Smulaton Methodology for Analyss of Substrate Nose Impact on Analog / RF Crcuts Includng Interconnect Resstance C. Soens (1,2), G. Van der Plas (1), P. Wambacq (1,3), S. Donnay (1) (1) IMEC (2) also Ph.D.

More information

Implementation Complexity of Bit Permutation Instructions

Implementation Complexity of Bit Permutation Instructions Implementaton Complexty of Bt Permutaton Instructons Zhje Jerry Sh and Ruby B. Lee Department of Electrcal Engneerng, Prnceton Unversty, Prnceton, NJ 085 USA {zsh, rblee}@ee.prnceton.edu Abstract- Several

More information

ECE 2133 Electronic Circuits. Dept. of Electrical and Computer Engineering International Islamic University Malaysia

ECE 2133 Electronic Circuits. Dept. of Electrical and Computer Engineering International Islamic University Malaysia ECE 2133 Electronc Crcuts Dept. of Electrcal and Computer Engneerng Internatonal Islamc Unversty Malaysa Chapter 12 Feedback and Stablty Introducton to Feedback Introducton to Feedback 1-4 Harold Black,

More information

Micro-grid Inverter Parallel Droop Control Method for Improving Dynamic Properties and the Effect of Power Sharing

Micro-grid Inverter Parallel Droop Control Method for Improving Dynamic Properties and the Effect of Power Sharing 2015 AASRI Internatonal Conference on Industral Electroncs and Applcatons (IEA 2015) Mcro-grd Inverter Parallel Droop Control Method for Improvng Dynamc Propertes and the Effect of Power Sharng aohong

More information

RC Filters TEP Related Topics Principle Equipment

RC Filters TEP Related Topics Principle Equipment RC Flters TEP Related Topcs Hgh-pass, low-pass, Wen-Robnson brdge, parallel-t flters, dfferentatng network, ntegratng network, step response, square wave, transfer functon. Prncple Resstor-Capactor (RC)

More information

Lecture 30: Audio Amplifiers

Lecture 30: Audio Amplifiers Whtes, EE 322 Lecture 30 Page 1 of 9 Lecture 30: Audo Amplfers Once the audo sgnal leaes the Product Detector, there are two more stages t passes through before beng output to the speaker (ref. Fg. 1.13):

More information

Network Reconfiguration in Distribution Systems Using a Modified TS Algorithm

Network Reconfiguration in Distribution Systems Using a Modified TS Algorithm Network Reconfguraton n Dstrbuton Systems Usng a Modfed TS Algorthm ZHANG DONG,FU ZHENGCAI,ZHANG LIUCHUN,SONG ZHENGQIANG School of Electroncs, Informaton and Electrcal Engneerng Shangha Jaotong Unversty

More information

AC-DC CONVERTER FIRING ERROR DETECTION

AC-DC CONVERTER FIRING ERROR DETECTION BNL- 63319 UC-414 AGS/AD/96-3 INFORMAL AC-DC CONVERTER FIRING ERROR DETECTION O.L. Gould July 15, 1996 OF THIS DOCUMENT IS ALTERNATING GRADIENT SYNCHROTRON DEPARTMENT BROOKHAVEN NATIONAL LABORATORY ASSOCIATED

More information

DUE TO process scaling, the number of devices on a

DUE TO process scaling, the number of devices on a IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 1, NO. 11, NOVEMBER 011 1839 Latency Inserton Method (LIM) for DC Analyss of Power Supply Networks Dmtr Klokotov, Patrck Goh,

More information

Improved corner neutron flux calculation for Start-up Range Neutron Monitor

Improved corner neutron flux calculation for Start-up Range Neutron Monitor Proceedngs of Internatonal Symposum on EcoTopa Scence 2007, ISETS07 (2007) Improved corner neutron flux calculaton for Start-up ange Neutron Montor Masato Watanabe 1, Hdetsugu Okada 1 and Yosho Kmura 2

More information

Simulation and Closed Loop Control of Multilevel DC-DC Converter for Variable Load and Source Conditions

Simulation and Closed Loop Control of Multilevel DC-DC Converter for Variable Load and Source Conditions ISSN(Onlne): 232981 ISSN (Prnt) : 2329798 (An ISO 3297: 27 Certfed Organzaton) Vol. 4, Issue 3, March 216 Smulaton and Closed Loop Control of Multlevel DCDC Converter for Varable Load and Source Condtons

More information

High Gain Soft-switching Bidirectional DC-DC Converters for Eco-friendly Vehicles

High Gain Soft-switching Bidirectional DC-DC Converters for Eco-friendly Vehicles Hgh Gan Soft-swtchng Bdrectonal DC-DC Converters for Eco-frendly ehcles Mnho Kwon, Junsung Park and Sewan Cho, EEE Senor Member Department of Electrcal and nformaton Engneerng Seoul Natonal Unversty of

More information

Customer witness testing guide

Customer witness testing guide Customer wtness testng gude Ths gude s amed at explanng why we need to wtness test equpment whch s beng connected to our network, what we actually do when we complete ths testng, and what you can do to

More information

Analysis of Time Delays in Synchronous and. Asynchronous Control Loops. Bj rn Wittenmark, Ben Bastian, and Johan Nilsson

Analysis of Time Delays in Synchronous and. Asynchronous Control Loops. Bj rn Wittenmark, Ben Bastian, and Johan Nilsson 37th CDC, Tampa, December 1998 Analyss of Delays n Synchronous and Asynchronous Control Loops Bj rn Wttenmark, Ben Bastan, and Johan Nlsson emal: bjorn@control.lth.se, ben@control.lth.se, and johan@control.lth.se

More information

Prevention of Sequential Message Loss in CAN Systems

Prevention of Sequential Message Loss in CAN Systems Preventon of Sequental Message Loss n CAN Systems Shengbng Jang Electrcal & Controls Integraton Lab GM R&D Center, MC: 480-106-390 30500 Mound Road, Warren, MI 48090 shengbng.jang@gm.com Ratnesh Kumar

More information

Dynamic Power Consumption in Virtex -II FPGA Family

Dynamic Power Consumption in Virtex -II FPGA Family Dynamc Power Consumpton n Vrtex -II FPGA Famly L Shang Prnceton Unversty EE Dept., Prnceton, NJ 08540 lshang@ee.prnceton.edu Alreza S Kavan Xlnx Inc. 2100 Logc Dr., San Jose, CA 95124 alreza.kavan@xlnx.com

More information

Process Variability Modeling for VLSI Circuit Simulation

Process Variability Modeling for VLSI Circuit Simulation Process Varablty Modelng for VLSI Crcut Smulaton Samar K. Saha SuVolta, Inc., 30 D Knowles Drve, Los Gatos, CA, USA, samar@eee.org ABSTRACT Ths paper presents a systematc methodology to develop statstcal

More information

Suppression of Co-Channel Interference in High Duty Ratio Pulsed Radar Receivers

Suppression of Co-Channel Interference in High Duty Ratio Pulsed Radar Receivers Suppresson of Co-Channel Interference n Hgh Duty Rato Pulsed Radar Recevers C M Alabaster* *Whte Horse Radar Lmted, K, emal: clve@whradar.com Keywords: Co-Channel Interference, Pulsed Radar, Recever, Hgh

More information

Lecture 10: Bipolar Junction Transistor Construction. NPN Physical Operation.

Lecture 10: Bipolar Junction Transistor Construction. NPN Physical Operation. Whtes, EE 320 Lecture 10 Page 1 of 9 Lecture 10: Bpolar Juncton Transstor Constructon. NPN Physcal Operaton. For the remander of ths semester we wll be studyng transstors and transstor crcuts. The transstor

More information

Analysis, Design, and Simulation of a Novel Current Sensing Circuit

Analysis, Design, and Simulation of a Novel Current Sensing Circuit Analyss, Desgn, and Smulaton of a Noel Current Sensng Crcut Louza Sellam Electrcal and Computer Engneerng Department US Naal Academy Annapols, Maryland, USA sellam@usna.edu obert W. Newcomb Electrcal and

More information

Block-wise Extraction of Rent s Exponents for an Extensible Processor

Block-wise Extraction of Rent s Exponents for an Extensible Processor Block-wse Extracton of Rent s Exponents for an Extensble Processor Tapan Ahonen, Tero Nurm, Jar Nurm, and Joun Isoaho Tampere Unversty of Technology, and Unversty of Turku, Fnland tapan.ahonen@tut.f, tnurm@utu.f,

More information

Process Variation Aware SRAM/Cache for Aggressive Voltage-Frequency Scaling

Process Variation Aware SRAM/Cache for Aggressive Voltage-Frequency Scaling Process Varaton Aware SRAM/Cache for Aggressve Voltage-requency Scalng Avesta Sasan ( Mohammad A Makhzan), Houman Homayoun, Ahmed Eltawl, ad Kurdah {mmakhzan,hhomayou,aeltawl,kurdah}@uc.edu Unversty of

More information

Beam quality measurements with Shack-Hartmann wavefront sensor and M2-sensor: comparison of two methods

Beam quality measurements with Shack-Hartmann wavefront sensor and M2-sensor: comparison of two methods Beam qualty measurements wth Shack-Hartmann wavefront sensor and M-sensor: comparson of two methods J.V.Sheldakova, A.V.Kudryashov, V.Y.Zavalova, T.Y.Cherezova* Moscow State Open Unversty, Adaptve Optcs

More information

A Fuzzy-based Routing Strategy for Multihop Cognitive Radio Networks

A Fuzzy-based Routing Strategy for Multihop Cognitive Radio Networks 74 Internatonal Journal of Communcaton Networks and Informaton Securty (IJCNIS) Vol. 3, No., Aprl 0 A Fuzzy-based Routng Strategy for Multhop Cogntve Rado Networks Al El Masr, Naceur Malouch and Hcham

More information

A Preliminary Study on Targets Association Algorithm of Radar and AIS Using BP Neural Network

A Preliminary Study on Targets Association Algorithm of Radar and AIS Using BP Neural Network Avalable onlne at www.scencedrect.com Proceda Engneerng 5 (2 44 445 A Prelmnary Study on Targets Assocaton Algorthm of Radar and AIS Usng BP Neural Networ Hu Xaoru a, Ln Changchuan a a Navgaton Insttute

More information

A High-Speed Multiplication Algorithm Using Modified Partial Product Reduction Tree

A High-Speed Multiplication Algorithm Using Modified Partial Product Reduction Tree World Academy of Scence, Engneerng and Technology Internatonal Journal of Electrcal and Computer Engneerng Vol:4, No:, 200 A Hgh-Speed Multplcaton Algorthm Usng Modfed Partal Product educton Tree P Asadee

More information

A study of turbo codes for multilevel modulations in Gaussian and mobile channels

A study of turbo codes for multilevel modulations in Gaussian and mobile channels A study of turbo codes for multlevel modulatons n Gaussan and moble channels Lamne Sylla and Paul Forter (sylla, forter)@gel.ulaval.ca Department of Electrcal and Computer Engneerng Laval Unversty, Ste-Foy,

More information

Passive Filters. References: Barbow (pp ), Hayes & Horowitz (pp 32-60), Rizzoni (Chap. 6)

Passive Filters. References: Barbow (pp ), Hayes & Horowitz (pp 32-60), Rizzoni (Chap. 6) Passve Flters eferences: Barbow (pp 6575), Hayes & Horowtz (pp 360), zzon (Chap. 6) Frequencyselectve or flter crcuts pass to the output only those nput sgnals that are n a desred range of frequences (called

More information

Webinar Series TMIP VISION

Webinar Series TMIP VISION Webnar Seres TMIP VISION TMIP provdes techncal support and promotes knowledge and nformaton exchange n the transportaton plannng and modelng communty. DISCLAIMER The vews and opnons expressed durng ths

More information

A method to reduce DC-link voltage fluctuation of PMSM drive system with reduced DC-link capacitor

A method to reduce DC-link voltage fluctuation of PMSM drive system with reduced DC-link capacitor Internatonal Conference on Advanced Electronc Scence and Technology (AEST 2016) A method to reduce DClnk voltage fluctuaton of PMSM drve system wth reduced DClnk capactor a Ke L, Y Wang, Hong Wang and

More information

4.3- Modeling the Diode Forward Characteristic

4.3- Modeling the Diode Forward Characteristic 2/8/2012 3_3 Modelng the ode Forward Characterstcs 1/3 4.3- Modelng the ode Forward Characterstc Readng Assgnment: pp. 179-188 How do we analyze crcuts wth juncton dodes? 2 ways: Exact Solutons ffcult!

More information

DIMENSIONAL SYNTHESIS FOR WIDE-BAND BAND- PASS FILTERS WITH QUARTER-WAVELENGTH RES- ONATORS

DIMENSIONAL SYNTHESIS FOR WIDE-BAND BAND- PASS FILTERS WITH QUARTER-WAVELENGTH RES- ONATORS Progress In Electromagnetcs Research B, Vol. 17, 213 231, 29 DIMENSIONAL SYNTHESIS FOR WIDE-BAND BAND- PASS FILTERS WITH QUARTER-WAVELENGTH RES- ONATORS Q. Zhang and Y. Lu School of Electrcal and Electroncs

More information

California, 4 University of California, Berkeley

California, 4 University of California, Berkeley Dversty Processng WCDMA Cell earcher Implementaton Ahmed M. Eltawl, Eugene Grayver 2, Alreza Targhat, Jean Francos Frgon, Kambz hoarnejad, Hanl Zou 3 and Danjela Cabrc 4 Unversty of Calforna, Los Angeles,

More information

1 GSW Multipath Channel Models

1 GSW Multipath Channel Models In the general case, the moble rado channel s pretty unpleasant: there are a lot of echoes dstortng the receved sgnal, and the mpulse response keeps changng. Fortunately, there are some smplfyng assumptons

More information

Mismatch-tolerant Capacitor Array Structure for Junction-splitting SAR Analog-to-digital Conversion

Mismatch-tolerant Capacitor Array Structure for Junction-splitting SAR Analog-to-digital Conversion JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.7, NO., JUNE, 7 ISSN(Prnt) 59-57 https://do.org/.557/jsts.7.7..7 ISSN(Onlne) - Msmatch-tolerant Capactor Array Structure for Juncton-splttng SAR Analog-to-dgtal

More information

PERFORMANCE EVALUATION OF BOOTH AND WALLACE MULTIPLIER USING FIR FILTER. Chirala Engineering College, Chirala.

PERFORMANCE EVALUATION OF BOOTH AND WALLACE MULTIPLIER USING FIR FILTER. Chirala Engineering College, Chirala. PERFORMANCE EVALUATION OF BOOTH AND WALLACE MULTIPLIER USING FIR FILTER 1 H. RAGHUNATHA RAO, T. ASHOK KUMAR & 3 N.SURESH BABU 1,&3 Department of Electroncs and Communcaton Engneerng, Chrala Engneerng College,

More information

An Adaptive Over-current Protection Scheme for MV Distribution Networks Including DG

An Adaptive Over-current Protection Scheme for MV Distribution Networks Including DG An Adaptve Over-current Protecton Scheme for MV Dstrbuton Networks Includng DG S.A.M. Javadan Islamc Azad Unversty s.a.m.javadan@gmal.com M.-R. Haghfam Tarbat Modares Unversty haghfam@modares.ac.r P. Barazandeh

More information

Malicious User Detection in Spectrum Sensing for WRAN Using Different Outliers Detection Techniques

Malicious User Detection in Spectrum Sensing for WRAN Using Different Outliers Detection Techniques Malcous User Detecton n Spectrum Sensng for WRAN Usng Dfferent Outlers Detecton Technques Mansh B Dave #, Mtesh B Nakran #2 Assstant Professor, C. U. Shah College of Engg. & Tech., Wadhwan cty-363030,

More information

BI-DIRECTIONAL EDGE-RESONANT SWITCHED CAPACITOR CELL-ASSISTED SOFT-SWITCHING PWM DC DC CONVERTER FOR RENEWABLE ENERGY APPLICATIONS

BI-DIRECTIONAL EDGE-RESONANT SWITCHED CAPACITOR CELL-ASSISTED SOFT-SWITCHING PWM DC DC CONVERTER FOR RENEWABLE ENERGY APPLICATIONS BI-DIRECTIONAL EDGE-RESONANT SWITCHED CAPACITOR CELL-ASSISTED SOFT-SWITCHING PWM DC DC CONVERTER FOR RENEWABLE ENERGY APPLICATIONS 1 SARITHA THOMAS, 2 RABIYA RASHEED 1 Student, 2 Assstant Professor E-mal:

More information