Yield Optimisation of Power-On Reset Cells and Functional Verification

Size: px
Start display at page:

Download "Yield Optimisation of Power-On Reset Cells and Functional Verification"

Transcription

1 Yeld Optmsaton of Power-On Reset Cells and Functonal Verfcaton Dpl.-Ing. Olver Esenberger, Dpl.-Ing. Dr. Gerhard Rapptsch, Dpl.-Ing. Stefan Schneder Dpl.-Ing. Dr. Bernd Obermeer*, Dpl.-Ing. Dpl.-Wrtsch.-Ing. Andreas Rpp*, Dpl.-Ing. Dpl.-Kfm. Mchael Pronath* austramcrosystems AG, Schloss Premstaetten, 8141 Unterpremstaetten, Austra * MunEDA GmbH, Stefan-George-Rng 29, Munch, Germany E-mal: olver.esenberger@austramcrosystems.com Abstract Smulaton based yeld optmsaton s becomng an mportant soluton for ncreasng robustness of analog IP blocks. Ths paper descrbes the yeld optmsaton of a power-on reset cell as part of an analog IP lbrary. Yeld analyss of the ntal desgn s performed and senstvtes wth respect to process parameters are determned by Monte Carlo smulaton. The nput parameters used for the Monte Carlo smulaton descrbe global and local varatons of the semconductor devces. The results of the yeld analyss are used to determne a shft of the PMOS threshold mplant dose enablng a yeld enhancement of the ntal desgn. A re-desgn usng smulaton-based desgn centerng s performed resultng n a sgnfcant yeld ncrease n consderaton of the operatng condtons. The optmsaton s based on an algorthm maxmzng the worst-case-dstance. The smulaton results on mproved producton yeld are verfed by electrcal test at wafer level for varyng process condtons. 1 Introducton The performance and the yeld of analog crcuts are determned by varatons of the producton process. Durng the development of analog buldng blocks (e.g. band gap cells, operatonal amplfers, comparators etc.) the desgner chooses a crcut topology and tres to acheve a gven nomnal target for a pre-defned performance vector. Afterwards t must be verfed that the desgn s functonal under varyng process and operatng condtons (e.g. supply voltage and temperature varaton). To calculate the yeld of a crcut dependent on both global and local varatons, Monte Carlo smulaton s supported for most CAD platforms [1]. A crtcal pont wth respect to the accuracy of Monte Carlo smulaton s the accurate statstcal modellng of the SPICE parameters reflectng the dstrbuton of basc process parameters (e.g. threshold voltage, gan factor or channel length). Methods for accurate determnaton of Monte Carlo parameters from electrcal test data are presented n [2,3]. The dstrbuton of global process parameters s determned from the statstcs of the relevant process control parameters and correlatons of the parameters should be taken nto account. The dstrbuton of local fluctuatons (msmatch) s determned from statstcal parameter extracton of measurements on specal test chps [4,5]. Besdes the nformaton on the yeld of the crcut wth respect to a gven specfcaton t s also mportant to get nformaton about the man contrbutors of yeld loss by means of senstvty analyss wth respect to desgn and process parameters. Ths nformaton can be used n two ways. Frst, for a nomnal desgn havng a low yeld at some operatng corner, the desgner can shft desgn parameters of the relevant devces (man contrbutors) enablng effcent desgn centerng [6,7,8] durng a re-desgn phase. Secondly, durng product ramp up the senstvty analyss gves nformaton about possble process shfts to ncrease the yeld of the orgnal desgn. Desgn for Yeld (DFY) tools provde both senstvty analyss and yeld optmsaton based on Monte Carlo smulaton. Smulaton based yeld optmsaton s performed by desgn centerng and automatc shft of desgn parameters wthn specfed desgn parameter lmts. In the present nvestgaton the yeld of an analog buldng block (power-on reset cell) s ncreased by two ways: frst a shft of producton parameters s calculated from senstvty analyss to ncrease the yeld of the ntal desgn and afterwards a new set of desgn parameters s determned by maxmzng the worst-casedstance (sgma to target) for the reset voltage. The smulated yeld ncrease s verfed by producton results usng process wndow verfcaton. 2 Problem Formulaton and Crcut Descrpton A power-on reset cell has been used as an IP block wthn a mxed-sgnal crcut and has been desgned usng a standard.8µm CMOS technology. Durng yeld ramp-up of the product t turned out that there s sgnfcant yeld loss at low temperature (-4 C). The yeld loss could be attrbuted to the threshold voltage V TRIG of the power-on reset cell whch was measured durng electrcal wafer test. Therefore t was decded to perform a yeld analyss of the crcut pursung two goals: Frst, fnd a possblty to shft the producton process n order to ncrease the producton yeld of the current desgn and afterwards fnd a new set of desgn parameters usng the gven crcut topology (see Fg. 1) that wll result n a mproved yeld over the whole temperature range (-4 C to 85 C) wthout changng the process.

2 Path 1 Path 2 Path 3 Capactance Inverter Stages 1 2 V RESET V RESET Fg. 1. Schematc of the Power-On Reset Cell The Power-On Reset cell s used to reset the outputs of dgtal gates. When the supply voltage reaches the threshold voltage level V TRIG the output of the cell V RESET swtches to low. The level of the threshold voltage s manly defned by the voltage drop at the transstors n Path 2 and wth ths path the currents of the current sources M4 and M13 are compared. To explan the man functon of the cell two dfferent supply sources consderng the rse tme have to be dstngushed: 1) Slow Rse Tme (s) Fgure 2 shows the smulated transent reset voltage V RESET at room temperature (27 C) when a supply ramp wth a constant slope of 5 V/s s appled. At the begnnng of the transent examnaton the transstor M4 causes a current flow n Path 1 (see Fgure 1). The transstor M13 causes the voltage level at Node 1 to rse wth the supply voltage. The voltage level at ths node s amplfed by two nverters resultng n the reset voltage V RESET. Therefore V RESET rses n the same way as the supply voltage. Supply Voltage Thus a current flows n Path 3 and causes the voltage level at Node 1 to decrease. After the voltage level at Node 1 reaches a certan value (supply voltage reaches threshold voltage level V TRIG ) the frst nverter (M14, M15) delvers a HIGH (correspondng to the value of the connected supply voltage) at ts output (Node 2). Ths sgnal s nverted agan by a second nverter (M16, M17) and delvers therefore a LOW (V) at the reset output V RESET of the Power-On Reset Cell. 2) Fast Rse Tme (µs) Fgure 3 shows the smulated transent reset voltage V RESET at room temperature (27 C) when a supply ramp wth a constant slope of 1 V/µs s appled. Because the supply voltage s rsng very quckly the Power-On Reset s not able to pull down the voltage level a Node 1 fast enough. Therefore the output V RESET does not swtch to zero at the defned threshold voltage V TRIG, but when the supply voltage s already at the maxmum value. To prevent short pulses (spkes) at the output of the cell the RC-element consstng of the transstors M21 (Capactance) and M12, M13, M2 (Conductance) lengthens the pulse duraton at the output V RESET. Supply Voltage Reset Voltage Reset Voltage Fg. 2. Transent characterstc of the reset voltage V RESET for a supply voltage wth a slow rse tme The voltage level at Node 1 rses untl a current can flow n Path 2. Ths current s mrrored by the transstors M9, M1 and fnally by the transstors M11, M12 wth a factor of 3. Fg. 3. Transent characterstc of the reset voltage V RESET for a supply voltage wth a fast rse tme

3 3 Yeld Analyss and Yeld Enhancement 3.1 Monte Carlo Smulaton The varaton of process parameters s derved from the statstcal dstrbuton of electrcal test parameters for MOS transstors and mapped to the varaton of SPICE parameters used for Monte Carlo smulaton. The global and local varaton of SPICE parameters n the Monte Carlo models are defned n the followng way (e.g. for the MOS transstor threshold voltage vth): AVT, sm vth = vtnom + delvt + delmat (1) w l where vtnom s the normally dstrbuted nomnal threshold voltage, deltvt N(, σ ) s a global random varable ~ VT descrbng the process varaton (wafer to wafer varaton), delmat ~ N(,1) s a local Gaussan random varable descrbng devce msmatch and s allowed to vary ndependently for any transstor, w and l are the drawn wdth and length of the transstor. The thrd term of the parameter defnton descrbes the geometrc dependence of msmatch correspondng to the Pelgrom law [9], where the slope factor A VT,sm s determned from matchng parameter extracton [4,5]. The dstrbuton functons for the PMOS transstor threshold voltage varaton correspondng to (1) are defned n Table I. TABLE I Monte Carlo modelng of PMOS threshold voltage varaton. vtnom [V] delvt [V] delmat [V] A VT,sm [mvµm] -.76 N(,.3) N(,1) 15 In order to model the process varatons accurately the followng SPICE parameters for the MOS transstors (BSIM3v3) have been defned by random varables derved from the dstrbuton of producton control parameters: vth (threshold voltage), u (moblty), xl (varaton of effectve channel length), xw (varaton of effectve channel wdth), nsub (substrate dopng), tox (oxde- thckness), cgd/s/bo (gate-dran/source/bulk overlap capactances), rsh (dffuson resstance) and cj (juncton capactance). The calculaton of the SPICE parameter dstrbuton uses a backward error propagaton method smlar to [3] to model the varaton of process parameters based on SPICE smulaton of control parameters and numercal computaton of senstvtes. Furthermore, correlatons of SPICE parameters are defned reflectng the statstcal nteracton of process control parameters. The Monte Carlo models are mplemented as specal devce model sectons for usage wthn the SPICE smulator Spectre [1] and the DFY tool WCkeD [6,7,8,1]. The yeld analyss of the power-on reset cell s performed usng the Monte Carlo smulaton feature of the DFY tool WCkeD [8]. The orgnal desgn had an overall yeld of 72 % wthn the allowed temperature range (T= 4 C to T= +85 C) where the yeld at the crtcal operatng pont (T= 4 C) was 73 % whereas V TRIG,mn =2.6V and V TRIG,max =4.1V (see Fg. 4) VRISE, Mean: Std. Dev.: Fg. 4. Monte Carlo smulaton: Hstogram of the threshold voltage V TRIG for the orgnal desgn at T= 4 C, Yeld=73%. Specfcaton (sold lne) and smulated 3σ lmts (dashed lne). The Monte Carlo smulaton at the crtcal operatng pont (T=-4 C) results n the followng mean and standard devaton for the output threshold voltage V TRIG : µ = 3.98 V, σ =.212 V. 3.2 Senstvty Computaton The subsequent senstvty computaton provdes nformaton concernng the senstvtes wth respect to process parameters. The man contrbutors concernng the varance of V TRIG are determned by: the process varaton of the PMOS threshold voltage delvt_p (59% relatve nfluence) the process varaton of the NMOS threshold voltage delvt_n (21 % relatve nfluence) the process varaton of the effectve channel wdth del_xw (18 % relatve nfluence). The bggest nfluence s gven by the varaton of the PMOS threshold voltage where the senstvty wth respect to V TRIG has been determned from the Monte Carlo analyss assumng a standard devaton of σ = mv (see Table I) by: S V 3 dvtrig = =.188 V / σ delvt _ p TRIG Count = S VRISE (V) =.188V /.3V = 6.3 Ths nformaton s used to calculate an approprate shft of the PMOS threshold voltage n order to ncrease the yeld of the orgnal desgn durng producton. (2) (3)

4 The senstvty analyss and optmsaton features of the DFY tool WCkeD are based on an analytcal model of the crcut behavour as descrbed n the remander of ths paragraph. Three parameter spaces are dstngushed: The desgn parameters denoted by the vector d (e.g. drawn lengths and wdths of transstors), the operatng parameters (e.g. temperature or supply voltage) combned n the vector θ and the statstcal parameters s descrbng the global and local process varaton (1). The statstcal parameters can always be normalzed to be Gaussan dstrbuted wth mean value s = and standard devaton σ = 1 for each component. As far as the desgn propertes are concerned, the components of the vector f ( d,s s, θ) are the specfed performances of the desgn (the threshold voltage V TRIG n ths example) and the specfcaton vector f descrbes the upper and/or lower bounds for all performances. A crcut meets all specfcatons f the expresson f ( d,s s,θ) < holds true. b f b Fnally, the yeld Y d,s ) s the percentage of manufactured ( devces whch meet all specfcatons over the whole range of operatng condtons and can be expressed as Y ( d, s ) = ( ) d pdf s s s { s f(d,s s, θ ) < fb θ } Detals on the computaton of ths ntegral can be found n [6]. Senstvty analyss s supported both for the performances (devaton of a performance wth respect to a parameter) and the yeld (devaton of the expresson for yeld wth respect to a desgn parameter or the mean value of a statstcal parameter). In the present nvestgaton senstvty analyss of the performance wth respect to the statstcal process parameters has been appled. 4 Yeld Enhancement by Shft of Process Parameters As seen from Fg. 4 the 3σ lmt of V TRIG at T=-4 C (4.6 V) s 5 mv too hgh wth respect to the specfcaton (upper lmt V TRIG =4.1V). Snce the PMOS threshold voltage s the man contrbutor to the varaton of V TRIG an approprate shft of the typcal PMOS transstor threshold to decrease V TRIG by 5 mv can be calculated from the senstvty S (2) by (4) 5 = 6.3 = 79mV (5) Therefore, an ncrease of the nomnal threshold voltage by +79mV (=-.76 shfted to =-.68V) s able to lower the maxmum output by 5 mv and enables a 3σ desgn for the gven operatng pont. The ncrease of the yeld s verfed by Monte Carlo smulaton assumng shfted process parameters vtnom=-.68v n (1) and results n the followng mean and standard devaton for the output threshold V TRIG : µ = 3.7V, σ =. 21V and a smulated overall yeld of 98 %. The shft of the nomnal threshold voltage has been mplemented for the gven producton process by a correspondng decrease of the PMOS threshold adjust mplant dose (mplant dose was changed from 3.4e12/cm 2 to 3.5e12/cm 2 wth an mplant energy of 7keV). The mplemented soluton led to a yeld ncrease of 15% for the orgnal desgn durng producton. 5 Yeld Optmsaton by Desgn Centerng For the ntended re-desgn of the power-on reset cell the yeld optmzaton feature of the DFY tool WCkeD s used. Ths specal program optmzes desgn parameters for hghest yeld. The yeld optmzaton s based on the worst case dstance n the statstcal parameter space. The worst case dstance n the statstcal parameter space s best explaned on the bass of the ntegraton regon of expresson (4). The worst-case pont s wc s the set of values of the process parameter vector that s most lkely to occur durng the manufacturng among all process parameter vectors that make the crcut volate one specfcaton. For a gven assgnment of the parameter vector d, the worst case pont s wc for specfcaton s defned as: T swc T ( d) = argmn{( s s )( s s) f ( d, s s) = f The worst-case dstance s wc -s between the worst-case pont to the nomnal value determnes the yeld of performance no..: a large value of s wc -s ndcates a hgh yeld and vce versa. The automatc yeld optmzaton algorthm of WCkeD estmates yeld by worst case ponts. Ths enables a calculaton of the senstvty of yeld regardng desgn parameters, whch s not possble from a Monte Carlo smulaton. By ths yeld optmzaton, a new soluton vector d was found that resulted n a yeld ncrease of more than 2 % by changng only 3 transstor parameters: the channel lengths of two PMOS load transstors (L4 and L13) and of an NMOS transstor (L7). The values of the transstor parameters cann be seen n Table II. The moderate area ncrease of the optmzed desgn enables an easy replacement of the orgnal cell wthout ncreasng the orgnal layout area reserved for ths block. The yeld ncrease was verfed performng a Spectre-Monte Carlo smulaton wthn the Cadence [1] desgn envronment (see Fg. 6). b } ( 6)

5 M4 M4 Fg. 5. Layout of the orgnal desgn (upper) and the optmsed desgn (lower ). The total computaton tme for the yeld optmzaton was one hour usng a parallel LSF-based smulaton envronment and 5 servers. Monte Carlo Smulaton at 4 C TABLE II Yeld optmsaton results for power-on reset cell. Orgnal Desgn Y=73% µ=3.98v σ=212mv Optmsed Desgn Y= 98% µ=3.7v σ=191mv Total Yeld Y=72% Y=93% Desgn Parameters Orgnal Desgn Optmsed Desgn L7=6µm L4=L13=75µm Area=255µm² L7=7µm L4=L13=15µm Area=2446µm² The yeld ncrease was verfed performng a Spectre-Monte Carlo smulaton wthn the Cadence [1] desgn envronment (see Fg. 6 and Table III). process wndow verfcaton s used. The wafers of a specfc producton lot are processed n dfferent ways and a producton splt reflectng dfferent corner condtons s appled (group 1: typcal mean, group 2: worst-case-power, group 3: worst-case-speed, group 4: worst-case-one and group 5: worst-case-zero). The worst-case-condtons for the NMOS and PMOS threshold voltage are spannng a rectangular area over the allowed specfcaton (NMOS:.68V to.84v and PMOS: -.68V to -.88V). The groups are realzed by varyng the threshold adjust mplant dose for NMOS and PMOS transstors. A good yeld over all splt groups s equvalent to a long-term producton stablty. For the power-on reset cell the defned process wndow s especally sutable to verfy the robustness snce the varaton of V TRIG manly reles on the PMOS threshold varaton as determned by the senstvty analyss. Durng electrcal wafer sort, the threshold voltage V TRIG s montored at T=35 C and a test lmt V guard =3.9 V s mplemented n order to screen out bad parts at low temperature (parts wth V TRIG > 4.3 V at 4 C). Ths guard band lmt s mplemented to avod a low temperature sort and s calculated from the lnear temperature slope of the reset voltage (TK = -5.8 mv/k). The orgnal desgn had a measured mean value µ = 3.73V and a standard devaton σ =.3V for all splt groups. The total yeld of the orgnal desgn s 69 % (n= 493 out of 66 parts) and close to the Monte Carlo smulaton results (72 %). As expected from the senstvty analyss the most crtcal corner for ths desgn s the worst-case-speed corner (large magntude of PMOS threshold and NMOS threshold voltage). For the orgnal desgn, all parts are out of specfcaton for the worst-casespeed corner (see Fg. 7). worst case speed VRISE, Mean: Std. Dev.: V guard 3 Count VTRIG (V) worst case power Wafer Number VRISE (V) Fg. 6. Monte Carlo smulaton: Hstogram of the threshold V TRIG for the optmsed desgn at worst-case-operatng pont T=-4 C, Yeld=98%. Specfcaton (sold lne) and 3σ lmts (dashed lne). 6 EXPERIMENTAL RESULTS For expermental verfcaton the yeld of the optmsed desgn s compared to the yeld of the orgnal desgn by producton measurements on wafer level (wafer sort). Therefore, a Fg. 7. Orgnal desgn: Hstogram and Box Plots for measured reset voltage and dfferent splt groups. Total measured yeld s 69 %. Wafer test lmt V guard= 3.9V. As predcted by smulaton a clear mprovement of producton yeld can be seen for the optmsed desgn (see Fg. 8) wth a measured mean value µ=3.38v and a standard devaton σ=.23v. For the optmsed desgn all measured parts le below the crtcal producton lmts (V guard = 3.9V) and the yeld s 1%

6 for all splt groups (n=997 parts). The measured worst-casedstance (sgma to target) ncreased from.6σ for the ntal desgn to 2.3σ for the optmsed desgn at the low temperature corner. V guard determned by smulaton based yeld optmsaton where the goal was to keep the devce area as small as possble and to maxmze the worst-case-dstance. The smulated yeld mprovement (25%) for the optmsed desgn was expermentally proven by process wndow verfcaton. The qualty of the smulaton results heavly reles on the accuracy of SPICE smulaton models (Monte Carlo models) reflectng the global and local varatons of the producton process. VTRIG (V) Wafer Number worst case speed worst case power Fg. 8. Optmsed desgn: Hstogram and Box Plots for measured reset voltage and dfferent splt groups. Total measured yeld s 1 %. Wafer test lmt V guard= 3.9V. Fgure 9 compares the hstogram of the crtcal worst case speed corner for the orgnal (a) and the optmsed desgn (b). For the orgnal desgn all parts are above the test lmt of 3.9V. For the optmsed desgn all parts are below the test lmt wth an addtonal safety margn of 1mV to the guard band. 8 REFERENCES [1] Cadence Affrma TM Analog Crcut Desgn Envronment User Gude, Product Verson 4.4.6, 22. Cadence Desgn Systems. [2] K. Snghal and V. Vsvanathan, Statstcal devce models from worst case fles and electrcal test data, IEEE Transactons on Semconductor Manufacturng, Vol. 12, pp , Nov [3] C. McAndrew and P. G. Drennan, Unfed Statstcal Modelng for Crcut Smulaton, Techncal Proceedngs of the 22 Internatonal Conference on Modelng and Smulaton of Mcrosystems, Nanotech 22, Vol. 1, pp [4] W. Posch, H. Enchlmar, E. Schrg and G. Rapptsch, Statstcal Modellng of MOS Transstor Msmatch for Hgh-voltage CMOS Processes. Qualty and Relablty Engneerng Internatonal 25, Vol. 21, pp [5] H. Höller, Measurement and Msmatch Modellng n Semconductor Devces, Proceedngs ISCAS 2, Geneva, May 2, IEEE, New York, 2. N o t N o r m a l (a) 4 4 [6] R. Schwenker, F. Schenkel et al., The Generalzed Boundary Curve A Common Method for Automatc Nomnal Desgn and Desgn Centerng of Analog Crcuts, Proceedngs DATE N o t N o r m a l I I (b) Fg. 9. Worst case speed corner of the orgnal (a) and the optmsed desgn (b). Test Lmt = 3.9V (sold lne) 7 CONCLUSION mv safety margn [7] F. Schenkel, M. Pronath et al., A Fast Method for Identfyng Msmatch-Relevant Transstor Pars, Proceedngs CICC, 21 [8] K. Antrech, J. Eckmueller, H. Graeb, M. Pronath, F. Schenkel, R. Schwenker and S. Zzala. WCkeD: Analog Crcut Synthess Incorporatng Msmatch Proceedngs CICC, 2. [9] M. Pelgrom, A. Dunmajer and A. Welbers: Matchng Propertes of MOS Transstors, IEEE Journal of Sold-State Crcuts, 1989 Vol.24, No. 5: [1] K. Antrech, J. Eckmueller, H. Graeb, M. Pronath, F. Schenkel, R. Schwenker and S. Zzala. WCkeD: Analog Crcut Synthess Incorporatng Msmatch, Proceedngs CICC, 2. A yeld optmsaton of an IP block (power-on reset cell) was carred out usng automated smulaton based desgn centerng and verfcaton by expermental results. Frst, crtcal process parameters have been determned by senstvty analyss allowng the mprovement of producton yeld (15%) for the ntal desgn by adjustng the PMOS threshold mplant dose. In a second step a new set of desgn parameters was

To: Professor Avitabile Date: February 4, 2003 From: Mechanical Student Subject: Experiment #1 Numerical Methods Using Excel

To: Professor Avitabile Date: February 4, 2003 From: Mechanical Student Subject: Experiment #1 Numerical Methods Using Excel To: Professor Avtable Date: February 4, 3 From: Mechancal Student Subject:.3 Experment # Numercal Methods Usng Excel Introducton Mcrosoft Excel s a spreadsheet program that can be used for data analyss,

More information

Process Variability Modeling for VLSI Circuit Simulation

Process Variability Modeling for VLSI Circuit Simulation Process Varablty Modelng for VLSI Crcut Smulaton Samar K. Saha SuVolta, Inc., 30 D Knowles Drve, Los Gatos, CA, USA, samar@eee.org ABSTRACT Ths paper presents a systematc methodology to develop statstcal

More information

IEE Electronics Letters, vol 34, no 17, August 1998, pp ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES

IEE Electronics Letters, vol 34, no 17, August 1998, pp ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES IEE Electroncs Letters, vol 34, no 17, August 1998, pp. 1622-1624. ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES A. Chatzgeorgou, S. Nkolads 1 and I. Tsoukalas Computer Scence Department, 1 Department

More information

High Speed ADC Sampling Transients

High Speed ADC Sampling Transients Hgh Speed ADC Samplng Transents Doug Stuetzle Hgh speed analog to dgtal converters (ADCs) are, at the analog sgnal nterface, track and hold devces. As such, they nclude samplng capactors and samplng swtches.

More information

MTBF PREDICTION REPORT

MTBF PREDICTION REPORT MTBF PREDICTION REPORT PRODUCT NAME: BLE112-A-V2 Issued date: 01-23-2015 Rev:1.0 Copyrght@2015 Bluegga Technologes. All rghts reserved. 1 MTBF PREDICTION REPORT... 1 PRODUCT NAME: BLE112-A-V2... 1 1.0

More information

Uncertainty in measurements of power and energy on power networks

Uncertainty in measurements of power and energy on power networks Uncertanty n measurements of power and energy on power networks E. Manov, N. Kolev Department of Measurement and Instrumentaton, Techncal Unversty Sofa, bul. Klment Ohrdsk No8, bl., 000 Sofa, Bulgara Tel./fax:

More information

antenna antenna (4.139)

antenna antenna (4.139) .6.6 The Lmts of Usable Input Levels for LNAs The sgnal voltage level delvered to the nput of an LNA from the antenna may vary n a very wde nterval, from very weak sgnals comparable to the nose level,

More information

Calculation of the received voltage due to the radiation from multiple co-frequency sources

Calculation of the received voltage due to the radiation from multiple co-frequency sources Rec. ITU-R SM.1271-0 1 RECOMMENDATION ITU-R SM.1271-0 * EFFICIENT SPECTRUM UTILIZATION USING PROBABILISTIC METHODS Rec. ITU-R SM.1271 (1997) The ITU Radocommuncaton Assembly, consderng a) that communcatons

More information

Latency Insertion Method (LIM) for IR Drop Analysis in Power Grid

Latency Insertion Method (LIM) for IR Drop Analysis in Power Grid Abstract Latency Inserton Method (LIM) for IR Drop Analyss n Power Grd Dmtr Klokotov, and José Schutt-Ané Wth the steadly growng number of transstors on a chp, and constantly tghtenng voltage budgets,

More information

NATIONAL RADIO ASTRONOMY OBSERVATORY Green Bank, West Virginia SPECTRAL PROCESSOR MEMO NO. 25. MEMORANDUM February 13, 1985

NATIONAL RADIO ASTRONOMY OBSERVATORY Green Bank, West Virginia SPECTRAL PROCESSOR MEMO NO. 25. MEMORANDUM February 13, 1985 NATONAL RADO ASTRONOMY OBSERVATORY Green Bank, West Vrgna SPECTRAL PROCESSOR MEMO NO. 25 MEMORANDUM February 13, 1985 To: Spectral Processor Group From: R. Fsher Subj: Some Experments wth an nteger FFT

More information

ECE315 / ECE515 Lecture 5 Date:

ECE315 / ECE515 Lecture 5 Date: Lecture 5 Date: 18.08.2016 Common Source Amplfer MOSFET Amplfer Dstorton Example 1 One Realstc CS Amplfer Crcut: C c1 : Couplng Capactor serves as perfect short crcut at all sgnal frequences whle blockng

More information

Research of Dispatching Method in Elevator Group Control System Based on Fuzzy Neural Network. Yufeng Dai a, Yun Du b

Research of Dispatching Method in Elevator Group Control System Based on Fuzzy Neural Network. Yufeng Dai a, Yun Du b 2nd Internatonal Conference on Computer Engneerng, Informaton Scence & Applcaton Technology (ICCIA 207) Research of Dspatchng Method n Elevator Group Control System Based on Fuzzy Neural Network Yufeng

More information

TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS TN TERMINATON FOR POINT-TO-POINT SYSTEMS. Zo = L C. ω - angular frequency = 2πf

TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS TN TERMINATON FOR POINT-TO-POINT SYSTEMS. Zo = L C. ω - angular frequency = 2πf TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS INTRODUCTION Because dgtal sgnal rates n computng systems are ncreasng at an astonshng rate, sgnal ntegrty ssues have become far more mportant to

More information

A Current Differential Line Protection Using a Synchronous Reference Frame Approach

A Current Differential Line Protection Using a Synchronous Reference Frame Approach A Current Dfferental Lne rotecton Usng a Synchronous Reference Frame Approach L. Sousa Martns *, Carlos Fortunato *, and V.Fernão res * * Escola Sup. Tecnologa Setúbal / Inst. oltécnco Setúbal, Setúbal,

More information

HIGH PERFORMANCE ADDER USING VARIABLE THRESHOLD MOSFET IN 45NM TECHNOLOGY

HIGH PERFORMANCE ADDER USING VARIABLE THRESHOLD MOSFET IN 45NM TECHNOLOGY Internatonal Journal of Electrcal, Electroncs and Computer Systems, (IJEECS) HIGH PERFORMANCE ADDER USING VARIABLE THRESHOLD MOSFET IN 45NM TECHNOLOGY 1 Supryo Srman, 2 Dptendu Ku. Kundu, 3 Saradndu Panda,

More information

Figure.1. Basic model of an impedance source converter JCHPS Special Issue 12: August Page 13

Figure.1. Basic model of an impedance source converter JCHPS Special Issue 12: August Page 13 A Hgh Gan DC - DC Converter wth Soft Swtchng and Power actor Correcton for Renewable Energy Applcaton T. Selvakumaran* and. Svachdambaranathan Department of EEE, Sathyabama Unversty, Chenna, Inda. *Correspondng

More information

Control Chart. Control Chart - history. Process in control. Developed in 1920 s. By Dr. Walter A. Shewhart

Control Chart. Control Chart - history. Process in control. Developed in 1920 s. By Dr. Walter A. Shewhart Control Chart - hstory Control Chart Developed n 920 s By Dr. Walter A. Shewhart 2 Process n control A phenomenon s sad to be controlled when, through the use of past experence, we can predct, at least

More information

Walsh Function Based Synthesis Method of PWM Pattern for Full-Bridge Inverter

Walsh Function Based Synthesis Method of PWM Pattern for Full-Bridge Inverter Walsh Functon Based Synthess Method of PWM Pattern for Full-Brdge Inverter Sej Kondo and Krt Choesa Nagaoka Unversty of Technology 63-, Kamtomoka-cho, Nagaoka 9-, JAPAN Fax: +8-58-7-95, Phone: +8-58-7-957

More information

Rejection of PSK Interference in DS-SS/PSK System Using Adaptive Transversal Filter with Conditional Response Recalculation

Rejection of PSK Interference in DS-SS/PSK System Using Adaptive Transversal Filter with Conditional Response Recalculation SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol., No., November 23, 3-9 Rejecton of PSK Interference n DS-SS/PSK System Usng Adaptve Transversal Flter wth Condtonal Response Recalculaton Zorca Nkolć, Bojan

More information

PRACTICAL, COMPUTATION EFFICIENT HIGH-ORDER NEURAL NETWORK FOR ROTATION AND SHIFT INVARIANT PATTERN RECOGNITION. Evgeny Artyomov and Orly Yadid-Pecht

PRACTICAL, COMPUTATION EFFICIENT HIGH-ORDER NEURAL NETWORK FOR ROTATION AND SHIFT INVARIANT PATTERN RECOGNITION. Evgeny Artyomov and Orly Yadid-Pecht 68 Internatonal Journal "Informaton Theores & Applcatons" Vol.11 PRACTICAL, COMPUTATION EFFICIENT HIGH-ORDER NEURAL NETWORK FOR ROTATION AND SHIFT INVARIANT PATTERN RECOGNITION Evgeny Artyomov and Orly

More information

Sensors for Motion and Position Measurement

Sensors for Motion and Position Measurement Sensors for Moton and Poston Measurement Introducton An ntegrated manufacturng envronment conssts of 5 elements:- - Machne tools - Inspecton devces - Materal handlng devces - Packagng machnes - Area where

More information

A study of turbo codes for multilevel modulations in Gaussian and mobile channels

A study of turbo codes for multilevel modulations in Gaussian and mobile channels A study of turbo codes for multlevel modulatons n Gaussan and moble channels Lamne Sylla and Paul Forter (sylla, forter)@gel.ulaval.ca Department of Electrcal and Computer Engneerng Laval Unversty, Ste-Foy,

More information

Application of Intelligent Voltage Control System to Korean Power Systems

Application of Intelligent Voltage Control System to Korean Power Systems Applcaton of Intellgent Voltage Control System to Korean Power Systems WonKun Yu a,1 and HeungJae Lee b, *,2 a Department of Power System, Seol Unversty, South Korea. b Department of Power System, Kwangwoon

More information

Figure 1. DC-DC Boost Converter

Figure 1. DC-DC Boost Converter EE46, Power Electroncs, DC-DC Boost Converter Verson Oct. 3, 11 Overvew Boost converters make t possble to effcently convert a DC voltage from a lower level to a hgher level. Theory of Operaton Relaton

More information

熊本大学学術リポジトリ. Kumamoto University Repositor

熊本大学学術リポジトリ. Kumamoto University Repositor 熊本大学学術リポジトリ Kumamoto Unversty Repostor Ttle Wreless LAN Based Indoor Poston and Its Smulaton Author(s) Ktasuka, Teruak; Nakansh, Tsune CtatonIEEE Pacfc RIM Conference on Comm Computers, and Sgnal Processng

More information

Dynamic Optimization. Assignment 1. Sasanka Nagavalli January 29, 2013 Robotics Institute Carnegie Mellon University

Dynamic Optimization. Assignment 1. Sasanka Nagavalli January 29, 2013 Robotics Institute Carnegie Mellon University Dynamc Optmzaton Assgnment 1 Sasanka Nagavall snagaval@andrew.cmu.edu 16-745 January 29, 213 Robotcs Insttute Carnege Mellon Unversty Table of Contents 1. Problem and Approach... 1 2. Optmzaton wthout

More information

Network Reconfiguration in Distribution Systems Using a Modified TS Algorithm

Network Reconfiguration in Distribution Systems Using a Modified TS Algorithm Network Reconfguraton n Dstrbuton Systems Usng a Modfed TS Algorthm ZHANG DONG,FU ZHENGCAI,ZHANG LIUCHUN,SONG ZHENGQIANG School of Electroncs, Informaton and Electrcal Engneerng Shangha Jaotong Unversty

More information

Vectorless Analysis of Supply Noise Induced Delay Variation

Vectorless Analysis of Supply Noise Induced Delay Variation Vectorless Analyss of Supply Nose Induced Delay Varaton Sanjay Pant *, Davd Blaauw *, Vladmr Zolotov **, Savthr Sundareswaran **, Rajendran Panda ** {spant,blaauw}@umch.edu, {vladmr.zolotov,savthr.sundareswaran,rajendran.panda}@motorola.com

More information

A High-Sensitivity Oversampling Digital Signal Detection Technique for CMOS Image Sensors Using Non-destructive Intermediate High-Speed Readout Mode

A High-Sensitivity Oversampling Digital Signal Detection Technique for CMOS Image Sensors Using Non-destructive Intermediate High-Speed Readout Mode A Hgh-Senstvty Oversamplng Dgtal Sgnal Detecton Technque for CMOS Image Sensors Usng Non-destructve Intermedate Hgh-Speed Readout Mode Shoj Kawahto*, Nobuhro Kawa** and Yoshak Tadokoro** *Research Insttute

More information

Equivalent Circuit Model of Electromagnetic Behaviour of Wire Objects by the Matrix Pencil Method

Equivalent Circuit Model of Electromagnetic Behaviour of Wire Objects by the Matrix Pencil Method ERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 5, No., May 008, -0 Equvalent Crcut Model of Electromagnetc Behavour of Wre Objects by the Matrx Pencl Method Vesna Arnautovsk-Toseva, Khall El Khamlch Drss,

More information

Harmonic Balance of Nonlinear RF Circuits

Harmonic Balance of Nonlinear RF Circuits MICROWAE AND RF DESIGN Harmonc Balance of Nonlnear RF Crcuts Presented by Mchael Steer Readng: Chapter 19, Secton 19. Index: HB Based on materal n Mcrowave and RF Desgn: A Systems Approach, nd Edton, by

More information

Control of Chaos in Positive Output Luo Converter by means of Time Delay Feedback

Control of Chaos in Positive Output Luo Converter by means of Time Delay Feedback Control of Chaos n Postve Output Luo Converter by means of Tme Delay Feedback Nagulapat nkran.ped@gmal.com Abstract Faster development n Dc to Dc converter technques are undergong very drastc changes due

More information

A Comparison of Two Equivalent Real Formulations for Complex-Valued Linear Systems Part 2: Results

A Comparison of Two Equivalent Real Formulations for Complex-Valued Linear Systems Part 2: Results AMERICAN JOURNAL OF UNDERGRADUATE RESEARCH VOL. 1 NO. () A Comparson of Two Equvalent Real Formulatons for Complex-Valued Lnear Systems Part : Results Abnta Munankarmy and Mchael A. Heroux Department of

More information

Micro-grid Inverter Parallel Droop Control Method for Improving Dynamic Properties and the Effect of Power Sharing

Micro-grid Inverter Parallel Droop Control Method for Improving Dynamic Properties and the Effect of Power Sharing 2015 AASRI Internatonal Conference on Industral Electroncs and Applcatons (IEA 2015) Mcro-grd Inverter Parallel Droop Control Method for Improvng Dynamc Propertes and the Effect of Power Sharng aohong

More information

Comparison of V I c control with Voltage Mode and Current Mode controls for high frequency (MHz) and very fast response applications

Comparison of V I c control with Voltage Mode and Current Mode controls for high frequency (MHz) and very fast response applications Comparson of V I c control wth Voltage Mode and Current Mode controls for hgh frequency (MHz) and very fast response applcatons P. Alou, J. A. Olver, V. Svkovc, 0. Garca and J. A. Cobos Abstract Hgh swtchng

More information

FAST ELECTRON IRRADIATION EFFECTS ON MOS TRANSISTOR MICROSCOPIC PARAMETERS EXPERIMENTAL DATA AND THEORETICAL MODELS

FAST ELECTRON IRRADIATION EFFECTS ON MOS TRANSISTOR MICROSCOPIC PARAMETERS EXPERIMENTAL DATA AND THEORETICAL MODELS Journal of Optoelectroncs and Advanced Materals Vol. 7, No., June 5, p. 69-64 FAST ELECTRON IRRAIATION EFFECTS ON MOS TRANSISTOR MICROSCOPIC PARAMETERS EXPERIMENTAL ATA AN THEORETICAL MOELS G. Stoenescu,

More information

High Speed, Low Power And Area Efficient Carry-Select Adder

High Speed, Low Power And Area Efficient Carry-Select Adder Internatonal Journal of Scence, Engneerng and Technology Research (IJSETR), Volume 5, Issue 3, March 2016 Hgh Speed, Low Power And Area Effcent Carry-Select Adder Nelant Harsh M.tech.VLSI Desgn Electroncs

More information

Block-wise Extraction of Rent s Exponents for an Extensible Processor

Block-wise Extraction of Rent s Exponents for an Extensible Processor Block-wse Extracton of Rent s Exponents for an Extensble Processor Tapan Ahonen, Tero Nurm, Jar Nurm, and Joun Isoaho Tampere Unversty of Technology, and Unversty of Turku, Fnland tapan.ahonen@tut.f, tnurm@utu.f,

More information

Frequency Map Analysis at CesrTA

Frequency Map Analysis at CesrTA Frequency Map Analyss at CesrTA J. Shanks. FREQUENCY MAP ANALYSS A. Overvew The premse behnd Frequency Map Analyss (FMA) s relatvely straghtforward. By samplng turn-by-turn (TBT) data (typcally 2048 turns)

More information

THE ARCHITECTURE OF THE BROADBAND AMPLIFIERS WITHOUT CLASSICAL STAGES WITH A COMMON BASE AND A COMMON EMITTER

THE ARCHITECTURE OF THE BROADBAND AMPLIFIERS WITHOUT CLASSICAL STAGES WITH A COMMON BASE AND A COMMON EMITTER VOL. 0, NO. 8, OCTOBE 205 ISSN 89-6608 2006-205 Asan esearch Publshng Network (APN. All rghts reserved. THE ACHITECTUE OF THE BOADBAND AMPLIFIES WITHOUT CLASSICAL STAGES WITH A COMMON BASE AND A COMMON

More information

Analysis of Time Delays in Synchronous and. Asynchronous Control Loops. Bj rn Wittenmark, Ben Bastian, and Johan Nilsson

Analysis of Time Delays in Synchronous and. Asynchronous Control Loops. Bj rn Wittenmark, Ben Bastian, and Johan Nilsson 37th CDC, Tampa, December 1998 Analyss of Delays n Synchronous and Asynchronous Control Loops Bj rn Wttenmark, Ben Bastan, and Johan Nlsson emal: bjorn@control.lth.se, ben@control.lth.se, and johan@control.lth.se

More information

Chaotic Filter Bank for Computer Cryptography

Chaotic Filter Bank for Computer Cryptography Chaotc Flter Bank for Computer Cryptography Bngo Wng-uen Lng Telephone: 44 () 784894 Fax: 44 () 784893 Emal: HTwng-kuen.lng@kcl.ac.ukTH Department of Electronc Engneerng, Dvson of Engneerng, ng s College

More information

White Paper. OptiRamp Model-Based Multivariable Predictive Control. Advanced Methodology for Intelligent Control Actions

White Paper. OptiRamp Model-Based Multivariable Predictive Control. Advanced Methodology for Intelligent Control Actions Whte Paper OptRamp Model-Based Multvarable Predctve Control Advanced Methodology for Intellgent Control Actons Vadm Shapro Dmtry Khots, Ph.D. Statstcs & Control, Inc., (S&C) propretary nformaton. All rghts

More information

ANNUAL OF NAVIGATION 11/2006

ANNUAL OF NAVIGATION 11/2006 ANNUAL OF NAVIGATION 11/2006 TOMASZ PRACZYK Naval Unversty of Gdyna A FEEDFORWARD LINEAR NEURAL NETWORK WITH HEBBA SELFORGANIZATION IN RADAR IMAGE COMPRESSION ABSTRACT The artcle presents the applcaton

More information

Keywords: Speed binning, delay measurement hardware, process variation.

Keywords: Speed binning, delay measurement hardware, process variation. A Novel On-chp Measurement Hardware for Effcent Speed-Bnnng A. Raychowdhury, S. Ghosh, and K. Roy Department of ECE, Purdue Unversty, IN {araycho, ghosh3, kaushk}@ecn.purdue.edu Abstract Wth the aggressve

More information

ECE 2133 Electronic Circuits. Dept. of Electrical and Computer Engineering International Islamic University Malaysia

ECE 2133 Electronic Circuits. Dept. of Electrical and Computer Engineering International Islamic University Malaysia ECE 2133 Electronc Crcuts Dept. of Electrcal and Computer Engneerng Internatonal Islamc Unversty Malaysa Chapter 12 Feedback and Stablty Introducton to Feedback Introducton to Feedback 1-4 Harold Black,

More information

SRAM Leakage Suppression by Minimizing Standby Supply Voltage

SRAM Leakage Suppression by Minimizing Standby Supply Voltage SRAM Leakage Suppresson by Mnmzng Standby Supply Voltage Hufang Qn, Yu ao, Dejan Markovc, Andre Vladmrescu, and Jan Rabaey Department of EES, Unversty of alforna at Berkeley, Berkeley, A 947, USA Abstract

More information

Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation

Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation Total Power Mnmzaton n Gltch-Free CMOS Crcuts Consderng Process Varaton Abstract Compared to subthreshold age, dynamc power s normally much less senstve to the process varaton due to ts approxmately lnear

More information

Performance Analysis of Multi User MIMO System with Block-Diagonalization Precoding Scheme

Performance Analysis of Multi User MIMO System with Block-Diagonalization Precoding Scheme Performance Analyss of Mult User MIMO System wth Block-Dagonalzaton Precodng Scheme Yoon Hyun m and Jn Young m, wanwoon Unversty, Department of Electroncs Convergence Engneerng, Wolgye-Dong, Nowon-Gu,

More information

* wivecrest Corporation 1715 Technology Dr., Suite 400 Saq Jose, CA w avecrestcorp. corn

* wivecrest Corporation 1715 Technology Dr., Suite 400 Saq Jose, CA w avecrestcorp. corn A New 'Method for Jtter Decomposton Through ts Dstrbuton Tal Fttng Mke P. L*, Jan Wlstrup+, Ross Jessen+, Denns Petrch* Abstract * wvecrest Corporaton 75 Technology Dr., Sute 400 Saq Jose, CA 95 0 mp,eng@

More information

Space Time Equalization-space time codes System Model for STCM

Space Time Equalization-space time codes System Model for STCM Space Tme Eualzaton-space tme codes System Model for STCM The system under consderaton conssts of ST encoder, fadng channel model wth AWGN, two transmt antennas, one receve antenna, Vterb eualzer wth deal

More information

Generator of Time Series of Rain Attenuation: Results of Parameter Extraction

Generator of Time Series of Rain Attenuation: Results of Parameter Extraction 32 M. GRÁBNER U.-. FIEBIG V. KVIERA GENERATOR OF TIME SERIES OF RAIN ATTENUATION: RESULTS... Generator of Tme Seres of Ran Attenuaton: Results of Parameter Extracton Martn GRÁBNER 1 Uwe-arsten FIEBIG 2

More information

RC Filters TEP Related Topics Principle Equipment

RC Filters TEP Related Topics Principle Equipment RC Flters TEP Related Topcs Hgh-pass, low-pass, Wen-Robnson brdge, parallel-t flters, dfferentatng network, ntegratng network, step response, square wave, transfer functon. Prncple Resstor-Capactor (RC)

More information

Microelectronic Circuits

Microelectronic Circuits Mcroelectronc Crcuts Slde 1 Introducton Suggested textbook: 1. Adel S. Sedra and Kenneth C. Smth, Mcroelectronc Crcuts Theory and Applcatons, Sxth edton Internatonal Verson, Oxford Unersty Press, 2013.

More information

Figure 1. DC-DC Boost Converter

Figure 1. DC-DC Boost Converter EE36L, Power Electroncs, DC-DC Boost Converter Verson Feb. 8, 9 Overvew Boost converters make t possble to effcently convert a DC voltage from a lower level to a hgher level. Theory of Operaton Relaton

More information

RECOMMENDATION ITU-R P Multipath propagation and parameterization of its characteristics

RECOMMENDATION ITU-R P Multipath propagation and parameterization of its characteristics Rec. ITU-R P.47-3 RECOMMEDATIO ITU-R P.47-3 Multpath propagaton and parameterzaton of ts characterstcs (Queston ITU-R 3/3) (999-3-5-7) Scope Recommendaton ITU-R P.47 descrbes the nature of multpath propagaton

More information

Evaluate the Effective of Annular Aperture on the OTF for Fractal Optical Modulator

Evaluate the Effective of Annular Aperture on the OTF for Fractal Optical Modulator Global Advanced Research Journal of Management and Busness Studes (ISSN: 2315-5086) Vol. 4(3) pp. 082-086, March, 2015 Avalable onlne http://garj.org/garjmbs/ndex.htm Copyrght 2015 Global Advanced Research

More information

Strain Gauge Measuring Amplifier BA 660

Strain Gauge Measuring Amplifier BA 660 Stran Gauge Measurng Amplfer BA 660 Orgnal of the Manual BA660 / IP20 BA660 / IP66 Table of Contents 1. Safety precautons...2 1.1. Feld of applcaton...2 1.2. Installaton...2 1.3. Mantenance...2 2. Functon...2

More information

Chapter 13. Filters Introduction Ideal Filter

Chapter 13. Filters Introduction Ideal Filter Chapter 3 Flters 3.0 Introducton Flter s the crcut that capable o passng sgnal rom nput to output that has requency wthn a speced band and attenuatng all others outsde the band. Ths s the property o selectvty.

More information

Comparative Analysis of Reuse 1 and 3 in Cellular Network Based On SIR Distribution and Rate

Comparative Analysis of Reuse 1 and 3 in Cellular Network Based On SIR Distribution and Rate Comparatve Analyss of Reuse and 3 n ular Network Based On IR Dstrbuton and Rate Chandra Thapa M.Tech. II, DEC V College of Engneerng & Technology R.V.. Nagar, Chttoor-5727, A.P. Inda Emal: chandra2thapa@gmal.com

More information

Simulation of Distributed Power-Flow Controller (Dpfc)

Simulation of Distributed Power-Flow Controller (Dpfc) RESEARCH INVENTY: Internatonal Journal of Engneerng and Scence ISBN: 2319-6483, ISSN: 2278-4721, Vol. 2, Issue 1 (January 2013), PP 25-32 www.researchnventy.com Smulaton of Dstrbuted Power-Flow Controller

More information

Low Switching Frequency Active Harmonic Elimination in Multilevel Converters with Unequal DC Voltages

Low Switching Frequency Active Harmonic Elimination in Multilevel Converters with Unequal DC Voltages Low Swtchng Frequency Actve Harmonc Elmnaton n Multlevel Converters wth Unequal DC Voltages Zhong Du,, Leon M. Tolbert, John N. Chasson, Hu L The Unversty of Tennessee Electrcal and Computer Engneerng

More information

Passive Filters. References: Barbow (pp ), Hayes & Horowitz (pp 32-60), Rizzoni (Chap. 6)

Passive Filters. References: Barbow (pp ), Hayes & Horowitz (pp 32-60), Rizzoni (Chap. 6) Passve Flters eferences: Barbow (pp 6575), Hayes & Horowtz (pp 360), zzon (Chap. 6) Frequencyselectve or flter crcuts pass to the output only those nput sgnals that are n a desred range of frequences (called

More information

Optimal Sizing and Allocation of Residential Photovoltaic Panels in a Distribution Network for Ancillary Services Application

Optimal Sizing and Allocation of Residential Photovoltaic Panels in a Distribution Network for Ancillary Services Application Optmal Szng and Allocaton of Resdental Photovoltac Panels n a Dstrbuton Networ for Ancllary Servces Applcaton Reza Ahmad Kordhel, Student Member, IEEE, S. Al Pourmousav, Student Member, IEEE, Jayarshnan

More information

Webinar Series TMIP VISION

Webinar Series TMIP VISION Webnar Seres TMIP VISION TMIP provdes techncal support and promotes knowledge and nformaton exchange n the transportaton plannng and modelng communty. DISCLAIMER The vews and opnons expressed durng ths

More information

Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation

Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation 21st Internatonal Conference on VLSI Desgn Total Power Mnmzaton n Gltch-Free CMOS Crcuts Consderng Process Varaton Yuanln Lu * Intel Corporaton Folsom, CA 95630, USA yuanln.lu@ntel.com Abstract Compared

More information

In modern wireless RF and microwave communications

In modern wireless RF and microwave communications Frequency Propertes of a Reverse Based Thc Swtchng PIN Dode A revew of PIN dode behavor n a large-sgnal envronment By Loudmla Drozdovsaa llanova Unversty In modern wreless RF and mcrowave communcatons

More information

Priority based Dynamic Multiple Robot Path Planning

Priority based Dynamic Multiple Robot Path Planning 2nd Internatonal Conference on Autonomous obots and Agents Prorty based Dynamc Multple obot Path Plannng Abstract Taxong Zheng Department of Automaton Chongqng Unversty of Post and Telecommuncaton, Chna

More information

Optimization Frequency Design of Eddy Current Testing

Optimization Frequency Design of Eddy Current Testing Optmzaton Frequency Desgn of Eddy Current Testng NAONG MUNGKUNG 1, KOMKIT CHOMSUWAN 1, NAONG PIMPU 2 AND TOSHIFUMI YUJI 3 1 Department of Electrcal Technology Educaton Kng Mongkut s Unversty of Technology

More information

A Preliminary Study on Targets Association Algorithm of Radar and AIS Using BP Neural Network

A Preliminary Study on Targets Association Algorithm of Radar and AIS Using BP Neural Network Avalable onlne at www.scencedrect.com Proceda Engneerng 5 (2 44 445 A Prelmnary Study on Targets Assocaton Algorthm of Radar and AIS Usng BP Neural Networ Hu Xaoru a, Ln Changchuan a a Navgaton Insttute

More information

N- and P-Channel 2.5-V (G-S) MOSFET

N- and P-Channel 2.5-V (G-S) MOSFET S456DY N- and P-Channel.5-V (G-S) MOSFET PRODUCT SUMMARY V DS (V) R DS(on) (Ω) (A).5 at 7. N-Channel.35 at V GS =.5 V 6. FEATURES Halogen-free Accordng to IEC 649-- Defnton TrenchFET Power MOSFET:.5 Rated

More information

Research on Controller of Micro-hydro Power System Nan XIE 1,a, Dezhi QI 2,b,Weimin CHEN 2,c, Wei WANG 2,d

Research on Controller of Micro-hydro Power System Nan XIE 1,a, Dezhi QI 2,b,Weimin CHEN 2,c, Wei WANG 2,d Advanced Materals Research Submtted: 2014-05-13 ISSN: 1662-8985, Vols. 986-987, pp 1121-1124 Accepted: 2014-05-19 do:10.4028/www.scentfc.net/amr.986-987.1121 Onlne: 2014-07-18 2014 Trans Tech Publcatons,

More information

D-STATCOM Optimal Allocation Based On Investment Decision Theory

D-STATCOM Optimal Allocation Based On Investment Decision Theory Internatonal Conference on Computer Engneerng, Informaton Scence & Applcaton Technology (ICCIA 2016) D-STATCOM Optmal Allocaton Based On Investment Decson Theory Yongjun Zhang1, a, Yfu Mo1, b and Huazhen

More information

AFV-P 2U/4U. AC + DC Power Solutions. series. Transient Generation for Disturbance Tests. only. High Performance Programmable AC Power Source

AFV-P 2U/4U. AC + DC Power Solutions. series. Transient Generation for Disturbance Tests. only. High Performance Programmable AC Power Source AFV-P seres Hgh Performance Programmable AC Power Source only 2U/4U Intutve Touch Screen HMI Output Frequency up to 15-1000Hz Power Lne Smulatons: Step & Ramp Features Fast Response Tme: 300μs AC Source

More information

An Adaptive Over-current Protection Scheme for MV Distribution Networks Including DG

An Adaptive Over-current Protection Scheme for MV Distribution Networks Including DG An Adaptve Over-current Protecton Scheme for MV Dstrbuton Networks Includng DG S.A.M. Javadan Islamc Azad Unversty s.a.m.javadan@gmal.com M.-R. Haghfam Tarbat Modares Unversty haghfam@modares.ac.r P. Barazandeh

More information

A High-Speed Multiplication Algorithm Using Modified Partial Product Reduction Tree

A High-Speed Multiplication Algorithm Using Modified Partial Product Reduction Tree World Academy of Scence, Engneerng and Technology Internatonal Journal of Electrcal and Computer Engneerng Vol:4, No:, 200 A Hgh-Speed Multplcaton Algorthm Usng Modfed Partal Product educton Tree P Asadee

More information

Power System State Estimation Using Phasor Measurement Units

Power System State Estimation Using Phasor Measurement Units Unversty of Kentucky UKnowledge Theses and Dssertatons--Electrcal and Computer Engneerng Electrcal and Computer Engneerng 213 Power System State Estmaton Usng Phasor Measurement Unts Jaxong Chen Unversty

More information

Introduction to Amplifiers

Introduction to Amplifiers Introducton to Amplfers Dad W. Graham West Vrgna Unersty Lane Department of Computer Scence and Electrcal Engneerng Dad W. Graham, 07 Small Wggles To Bg Wggles Amplfcaton s extremely mportant n electroncs

More information

AC-DC CONVERTER FIRING ERROR DETECTION

AC-DC CONVERTER FIRING ERROR DETECTION BNL- 63319 UC-414 AGS/AD/96-3 INFORMAL AC-DC CONVERTER FIRING ERROR DETECTION O.L. Gould July 15, 1996 OF THIS DOCUMENT IS ALTERNATING GRADIENT SYNCHROTRON DEPARTMENT BROOKHAVEN NATIONAL LABORATORY ASSOCIATED

More information

Development of a High Bandwidth, High Power Linear Amplifier for a Precision Fast Tool Servo System

Development of a High Bandwidth, High Power Linear Amplifier for a Precision Fast Tool Servo System Development of a Hgh Bandwdth, Hgh Power near Amplfer for a Precson Fast Tool Servo System S. Rakuff 1, J. Cuttno 1, D. Schnstock 2 1 Dept. of Mechancal Engneerng, The Unversty of North Carolna at Charlotte,

More information

Chapter 2 Two-Degree-of-Freedom PID Controllers Structures

Chapter 2 Two-Degree-of-Freedom PID Controllers Structures Chapter 2 Two-Degree-of-Freedom PID Controllers Structures As n most of the exstng ndustral process control applcatons, the desred value of the controlled varable, or set-pont, normally remans constant

More information

INSTANTANEOUS TORQUE CONTROL OF MICROSTEPPING BIPOLAR PWM DRIVE OF TWO-PHASE STEPPING MOTOR

INSTANTANEOUS TORQUE CONTROL OF MICROSTEPPING BIPOLAR PWM DRIVE OF TWO-PHASE STEPPING MOTOR The 5 th PSU-UNS Internatonal Conference on Engneerng and 537 Technology (ICET-211), Phuket, May 2-3, 211 Prnce of Songkla Unversty, Faculty of Engneerng Hat Ya, Songkhla, Thaland 9112 INSTANTANEOUS TORQUE

More information

Prevention of Sequential Message Loss in CAN Systems

Prevention of Sequential Message Loss in CAN Systems Preventon of Sequental Message Loss n CAN Systems Shengbng Jang Electrcal & Controls Integraton Lab GM R&D Center, MC: 480-106-390 30500 Mound Road, Warren, MI 48090 shengbng.jang@gm.com Ratnesh Kumar

More information

29. Network Functions for Circuits Containing Op Amps

29. Network Functions for Circuits Containing Op Amps 9. Network Functons for Crcuts Contanng Op Amps Introducton Each of the crcuts n ths problem set contans at least one op amp. Also each crcut s represented by a gven network functon. These problems can

More information

Approximating User Distributions in WCDMA Networks Using 2-D Gaussian

Approximating User Distributions in WCDMA Networks Using 2-D Gaussian CCCT 05: INTERNATIONAL CONFERENCE ON COMPUTING, COMMUNICATIONS, AND CONTROL TECHNOLOGIES 1 Approxmatng User Dstrbutons n CDMA Networks Usng 2-D Gaussan Son NGUYEN and Robert AKL Department of Computer

More information

ESTIMATION OF DIVERGENCES IN PRECAST CONSTRUCTIONS USING GEODETIC CONTROL NETWORKS

ESTIMATION OF DIVERGENCES IN PRECAST CONSTRUCTIONS USING GEODETIC CONTROL NETWORKS Proceedngs, 11 th FIG Symposum on Deformaton Measurements, Santorn, Greece, 2003. ESTIMATION OF DIVERGENCES IN PRECAST CONSTRUCTIONS USING GEODETIC CONTROL NETWORKS George D. Georgopoulos & Elsavet C.

More information

Prediction of the No-Load Voltage Waveform of Laminated Salient-Pole Synchronous Generators

Prediction of the No-Load Voltage Waveform of Laminated Salient-Pole Synchronous Generators Predcton of the o-load Voltage Waveform of Lamnated Salent-Pole Synchronous Generators S. Keller M. Tu Xuan J.-J Smond Member IEEE Ecole Polytechnque Fédérale de Lausanne (EPFL) Laboratore de Machnes Electrques

More information

Delay Constrained Fuzzy Rate Control for Video Streaming over DVB-H

Delay Constrained Fuzzy Rate Control for Video Streaming over DVB-H Delay Constraned Fuzzy Rate Control for Vdeo Streamng over DVB-H Mehd Rezae, Moncef Gabbouj, 3 med Bouazz,, Tampere Unversty of Technology, 3 Noka Research Center, Tampere, Fnland mehd.rezae@tut.f, moncef.gabbouj@tut.f,

More information

Time-frequency Analysis Based State Diagnosis of Transformers Windings under the Short-Circuit Shock

Time-frequency Analysis Based State Diagnosis of Transformers Windings under the Short-Circuit Shock Tme-frequency Analyss Based State Dagnoss of Transformers Wndngs under the Short-Crcut Shock YUYING SHAO, ZHUSHI RAO School of Mechancal Engneerng ZHIJIAN JIN Hgh Voltage Lab Shangha Jao Tong Unversty

More information

A Mathematical Solution to Power Optimal Pipeline Design by Utilizing Soft Edge Flip-Flops

A Mathematical Solution to Power Optimal Pipeline Design by Utilizing Soft Edge Flip-Flops A Mathematcal Soluton to Power Optmal Ppelne Desgn by Utlzng Soft Edge Flp-Flops Mohammad Ghasemazar, Behnam Amelfard and Massoud Pedram Unversty of Southern Calforna Department of Electrcal Engneerng

More information

DUE TO process scaling, the number of devices on a

DUE TO process scaling, the number of devices on a IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 1, NO. 11, NOVEMBER 011 1839 Latency Inserton Method (LIM) for DC Analyss of Power Supply Networks Dmtr Klokotov, Patrck Goh,

More information

Adaptive Modulation for Multiple Antenna Channels

Adaptive Modulation for Multiple Antenna Channels Adaptve Modulaton for Multple Antenna Channels June Chul Roh and Bhaskar D. Rao Department of Electrcal and Computer Engneerng Unversty of Calforna, San Dego La Jolla, CA 993-7 E-mal: jroh@ece.ucsd.edu,

More information

Impact of Interference Model on Capacity in CDMA Cellular Networks. Robert Akl, D.Sc. Asad Parvez University of North Texas

Impact of Interference Model on Capacity in CDMA Cellular Networks. Robert Akl, D.Sc. Asad Parvez University of North Texas Impact of Interference Model on Capacty n CDMA Cellular Networks Robert Akl, D.Sc. Asad Parvez Unversty of North Texas Outlne Introducton to CDMA networks Average nterference model Actual nterference model

More information

Queen Bee genetic optimization of an heuristic based fuzzy control scheme for a mobile robot 1

Queen Bee genetic optimization of an heuristic based fuzzy control scheme for a mobile robot 1 Queen Bee genetc optmzaton of an heurstc based fuzzy control scheme for a moble robot 1 Rodrgo A. Carrasco Schmdt Pontfca Unversdad Católca de Chle Abstract Ths work presents both a novel control scheme

More information

MASTER TIMING AND TOF MODULE-

MASTER TIMING AND TOF MODULE- MASTER TMNG AND TOF MODULE- G. Mazaher Stanford Lnear Accelerator Center, Stanford Unversty, Stanford, CA 9409 USA SLAC-PUB-66 November 99 (/E) Abstract n conjuncton wth the development of a Beam Sze Montor

More information

Throughput Maximization by Adaptive Threshold Adjustment for AMC Systems

Throughput Maximization by Adaptive Threshold Adjustment for AMC Systems APSIPA ASC 2011 X an Throughput Maxmzaton by Adaptve Threshold Adjustment for AMC Systems We-Shun Lao and Hsuan-Jung Su Graduate Insttute of Communcaton Engneerng Department of Electrcal Engneerng Natonal

More information

A MODIFIED DIFFERENTIAL EVOLUTION ALGORITHM IN SPARSE LINEAR ANTENNA ARRAY SYNTHESIS

A MODIFIED DIFFERENTIAL EVOLUTION ALGORITHM IN SPARSE LINEAR ANTENNA ARRAY SYNTHESIS A MODIFIED DIFFERENTIAL EVOLUTION ALORITHM IN SPARSE LINEAR ANTENNA ARRAY SYNTHESIS Kaml Dmller Department of Electrcal-Electroncs Engneerng rne Amercan Unversty North Cyprus, Mersn TURKEY kdmller@gau.edu.tr

More information

ANALYTICAL AND NUMERICAL MODELING OF V TH AND S FOR NEW CG MOSFET STRUCTURE

ANALYTICAL AND NUMERICAL MODELING OF V TH AND S FOR NEW CG MOSFET STRUCTURE Internatonal Journal of Informaton Scences and Technques (IJIST) Vol.6, No.3/4/5/6, November 6 ANALYTICAL AND NUMERICAL MODELING OF V TH AND S FOR NEW CG MOSFET STRUCTURE ABSTRACT H. Jaafar*, A. Aouaj*,

More information

AN ALGORITHM TO COMBINE LINK ADAPTATION AND TRANSMIT POWER CONTROL IN HIPERLAN TYPE 2

AN ALGORITHM TO COMBINE LINK ADAPTATION AND TRANSMIT POWER CONTROL IN HIPERLAN TYPE 2 AN ALGORITHM TO COMBINE LINK ADAPTATION AND TRANSMIT POWER CONTROL IN HIPERLAN TYPE 2 Markus Radmrsch Inst. f. Allgem. Nachrchtentechnk, Unv. Hannover, Appelstr. 9a, 3167 Hannover, Germany Tel.: +49-511-762

More information

Optimal Placement of PMU and RTU by Hybrid Genetic Algorithm and Simulated Annealing for Multiarea Power System State Estimation

Optimal Placement of PMU and RTU by Hybrid Genetic Algorithm and Simulated Annealing for Multiarea Power System State Estimation T. Kerdchuen and W. Ongsakul / GMSARN Internatonal Journal (09) - Optmal Placement of and by Hybrd Genetc Algorthm and Smulated Annealng for Multarea Power System State Estmaton Thawatch Kerdchuen and

More information