Block-wise Extraction of Rent s Exponents for an Extensible Processor

Size: px
Start display at page:

Download "Block-wise Extraction of Rent s Exponents for an Extensible Processor"

Transcription

1 Block-wse Extracton of Rent s Exponents for an Extensble Processor Tapan Ahonen, Tero Nurm, Jar Nurm, and Joun Isoaho Tampere Unversty of Technology, and Unversty of Turku, Fnland tapan.ahonen@tut.f, tnurm@utu.f, jar.nurm@tut.f, jsoaho@utu.f Abstract It s envsoned that future system-on-chp hardware platform desgns wll be based on reuse of a customzable processor core. Consequently, beng able to quckly evaluate the key performance metrcs assocated wth specfc ponts n the desgn space becomes essental. Development of an early desgn phase performance estmaton method for logc blocks of an extensble processor core s descrbed. The processor blocks were systematcally syntheszed wth varyng constrants for reference and the correspondng Rent s exponents were extracted from the results. The mpact of synthessorgnated desgn space dscontnutes on the accuracy of physcal performance estmaton was evaluated by applyng lnear regresson on the resultng desgn ponts. 1. Introducton Wth growng complexty, System-on-Chp (SoC) developers have to resort to platform based desgn. One of the key components n SoC hardware platform desgn s a customzable processor core. System-level desgn methodologes often utlze logc synthess of reusable blocks. Snce synthess can drastcally alter the physcal level desgn, a problem orgnates from ths knd of desgn approach concernng the predctablty of physcal level performance metrcs such as clock frequency, power dsspaton and devce area. Therefore, t becomes essental to have the ablty of quckly evaluatng performance metrcs n dfferent desgn ponts, whle recognzng desgn space lmts of the block at hand. Accurate knowledge of the actual desgn, archtecture, and technology parameters s needed to realze the benefts of early desgn phase performance estmaton models. Inaccurate parameters are lkely to cause sgnfcant errors n the estmaton results. The exstng processor performance estmaton models yeld good results f used for certan types of desgns wth smlar archtecture, logc style, and technology generaton. These models nclude SUSPENS by Bakoglu [1], models of Sa- Halasz and M [][3], BACPAC [4], and RIPE [5]. They are commonly based on globally defned clock cycle tme and random logc presentaton of sub-blocks. Average onchp wre length s estmated usng Donath s wrng statstcs [6] and organzaton s descrbed by the wellknown Rent s rule [7] usng only one global Rent s exponent. The rule states that f we have N gates number of logc gates n a block, #I/O number of nput and output pns/connectons between the block and ts envronment, and we have an average amount of K p I/O connectons for an ndvdual gate/nstance nsde the block, then we can lnk these varables wth the followng equaton [7] p # I / O = K p N gates (1) where K p s Rent's constant and p s the Rent's exponent that s derved expermentally. If a logc synthess tool s used to generate varyng block mplementatons, a common Rent s exponent fals to accurately predct performance characterstcs. In order to solve ths problem we have extracted Rent s exponent as a functon of delay separately for each block, and developed a method for estmatng block performance characterstcs when the delay vares. The analyss was made for XIRISC [8], an extensble reduced nstructon set computer (RISC) developed at the Unversty of Bologna.. The Extensble RISC Core Hardware platforms for SoC development need to be customzable by reconfgurable nterconnecton scheme or programmable functonalty. The major shortcomngs of reconfgurable nterconnectons are unpredctable delays because of varable length nterconnectons, hgh mplementaton costs due to nevtably complex communcatons, slow reconfguraton, and possbly, need for adopton of new data transport orented software languages. Approachng SoC platform desgn from the programmable functonalty pont of vew pushes complexty towards less expensve mplementaton

2 features and allows use of legacy software. Customzable functonalty can be acheved wth low cost by usng an extensble RISC processor core lke XIRISC as a basc buldng block. Extensble means that the nstructon set archtecture (ISA) s easly modfable for specfc needs and thus the hardware resources can be selected accordngly. XIRISC s provded as an open source syntheszable Very Hgh Speed Integrated Crcut Hardware Descrpton Language (VHDL) descrpton accompaned by GNU Compler Collecton (GCC) based C/C++ software development envronment. Modfcatons to the ISA and word wdths used can be easly made through sngle VHDL fles. XIRISC s based on the Harvard archtecture wth fve ppelne stages as depcted n fgure 1. The Harvard archtecture s a processor archtecture that has separate buses for nstructon and data memores. In addton to the tradtonal sngle data path mplementaton, a double data path Very Long Instructon Word (VLIW) mplementaton s supported. fetch program memory control logc & system control coprocessor decode regster fle execute ALU shfter multpler MAC memory access data memory Fgure 1. An abstract vew of the XIRISC archtecture. wrte back The top-level block structure of the XIRISC core conssts of roughly seven parts. System Control Coprocessor (SCC) s an entty responsble for handlng exceptons and nterrupts, mantanng processor state and controllng context swtches. The remanng control logc conssts of address generaton, program counter (PC) value calculaton, nstructon decodng, and hazard handlng. Data memory s accessed n a load-store fashon usng regster fle (RF) to store the operands and results of operatons. Selectable Functonal Unts (FUs) nclude Arthmetc Logc Unt (ALU), shfter, multpler, Multply and ACcumulate (MAC) logc, and even a dvder, whch s not consdered n ths study. Multpler can be mplemented as a sngle-cycle devce producng as accurate results as the operands are or as a two-cycle devce producng full precson results (double wdth result compared to the operands). Ths two-cycle devce mplements the multply part of the MAC logc. Performance of XIRISC can be sgnfcantly affected by modfcatons to the ISA, data word wdth or block level archtecture. Because of the hgh abstracton level nature of the core descrpton, also constrants set to the synthess program have a dramatc effect. Typcal 3-bt mplementaton of the XIRISC core on a 0.18 µm CMOS process occupes slcon area less than half a square mllmeter, dsspates approxmately 0.4 watts of power and operates at or above 100 MHz. The scope of ths study had to be tghtly restrcted due to the extensveness of the XIRISC desgn space. To cover as wde desgn space as possble, focus was concentrated on the block level archtecture and a smple system level case study. When the extensblty of XIRISC s exploted and modfcatons to the ISA are made, the set of needed FUs may change whle the desgn space of a specfc FU may not. Of course, modfyng the ISA results n altered performance metrcs for the control logc, and may affect the SCC. The scope was further refned to block-level desgn space exploraton of 3-bt mplementatons emphaszng tmng constrants. The standard ISA of the XIRISC dstrbuton was not modfed for ths study. 3. Rent s Exponent Extracton Methodology To be able to predct system level performance metrcs already n the early phase of desgn cycle wthout executng many tme-consumng synthess runs, we have developed a method for lnkng dfferent synthess runs of gven tmng constrants to an early estmaton method havng only a few organzatonal parameters wth whch one can estmate performance metrcs of a processor accurately enough n the early phase of desgn wthout the need to know too many detals about the desgn tself. The key component n early estmaton analyss s Rent's rule [7] presented already n the frst secton. In ths paper we have used organzatonal parameters: Rent's constant (K p ) and Rent's exponent (p). Systematc workflow was used n our method to derve Rent's exponent p and a regresson curve for the exponent as a functon of delay. Frst, one block was taken under examnaton. By usng (1) Rent s exponent values correspondng to varyng delay fgures were calculated. Values for Rent's constant K p (number of average gate I/O) and number of gates N gates are extracted from synthess reports. The number of block I/O pns/connectons (#I/O) s defned n the specfcaton of the block. In ths way we get the varous values of p for the block under examnaton. Ths result s stll nadequate f we need to change the delay fgure more freely. Assume that we want to use delay constrant not matchng wth any of the reference ponts but somethng n between the

3 syntheszed values. Then we have to plot Rent's exponent p as a functon of delay and make a regresson analyss for the curve. We decded to use lnear regresson for curve fttng although t seems that some of the curves could obey polynomal expresson rather than lnear expresson. The regresson process s explaned n secton 5 n more detal. 4. Block-Level Desgn Space Exploraton Before the actual desgn space exploraton of ndvdual blocks, a technology exploraton was carred out. The focus was on performance metrc devaton wth changes n operatng envronment. Envronment parameters nclude the actual operatng voltage and chp temperature. For a best-case envronment, assumed operatng voltage s hgher than nomnal and chp temperature s at or below freezng. For a worst-case envronment, assumed operatng voltage s lower than nomnal and chp temperature s somewhere around bolng pont. Dfferent 0.18 µm statc CMOS processes wth ether 1.8 V or 1.3 V nomnal operatng voltage were examned usng the XIRISC multpler as a case study. It was observed that the multpler delay degraded from the best-case envronment to the worst-case envronment by a factor rangng from.3 to 3.5 for the 1.8 V nomnal voltage, and a factor of up to 4. for the 1.3 V nomnal voltage. Of course, power consumpton s also affected by the lowered operatng voltage assumpton and hgher resstance due to rased temperature assumpton. The observed mpact on ths case study was that the power consumpton lowered by a factor rangng from 1.6 to 1.7 for the 1.8 V nomnal voltage, and a factor rangng from 1.8 to 1.9 for the 1.3 V nomnal voltage. The dfferences between technologes wth the same nomnal voltage are caused by slght varatons n the characterzed best-case and worst-case envronments n addton to dfferences n senstvty to envronmental changes. The hgher factors for 1.3 V nomnal voltage are due to a hgher relatve devaton between best case and worst case operatng voltage assumptons compared to 1.8 V nomnal voltage. Nomnal voltage of 1.8 V and best-case operatng envronment were chosen for the block syntheses. Ths yelds the hghest power consumpton and lowest delay. Hence, the delay fgures from block syntheses should be used for comparson purposes only, not to determne the actual clock frequency as the assumed envronment parameters are not realstc n the vast majorty of operatng envronments. Referrng to the technology exploraton results, a rough estmaton of the delay n a typcal envronment could be obtaned by multplyng the best-case delay by two. The desgn space exploraton was realzed by syntheszng the ndvdual blocks usng seven dfferent delay constrants for each. In addton to that, synthess runs for mnmzed power consumpton and slcon area were performed. Intal synthess runs for all blocks were executed wth absolutely no constrants set for the synthess tool. For the followng sx runs, the delay constrant was lowered n equal szed steps. The magntude of these steps was chosen to be 15 percent of the delay fgure obtaned from the ntal synthess run. All of the synthess runs were performed wth hghest possble mappng effort,.e. maxmum number of heurstc optmzaton cycles. Optmzaton over subblock boundares was allowed for the synthess tool. XIRISC block synthess results are gathered together nto tables 1,, and 3 presentng delay, area, and power dsspaton fgures respectvely. The fgures have been evaluated from the gate level desgns by the synthess tool. Performance metrcs obtaned from the ntal runs wthout constrants are organzed under the label ntal result. The followng column labels descrbe the magntude of the gven delay constrant as a percentage of the delay fgure n the ntal result column. The results for power consumpton and slcon area mnmzaton runs are gven n the last two columns. Power dsspaton fgures n table 3 are normalzed for a clock frequency of 100 MHz to ease comparson between mplementatons. When nterpretng the power consumpton fgures of ndvdual blocks n table 3, one has to bear n mnd that these fgures are domnated by nternal power consumpton of logc cells nsde the block, whereas the overall power consumpton of the processor core s mostly determned by nterconnect swtchng power. Some general synthess features are evdent n the results. Because area s the hghest prorty parameter n desgn optmzaton, forcng the synthess tool to mnmze occuped slcon area yelds practcally the same results as gvng no constrants at all. Ths can be verfed by comparng the ntal result and mnmum area columns of tables 1,, and 3. Mnmum area mplementatons are generally consdered to be close to mnmum power mplementatons. In ths study the observed dfference n power consumpton between mnmum area and mnmum power mplementaton ranged from 15% to 6% beng 19% n average. The area penalty due to mnmzaton of power consumpton ranged from 8% to 30% beng % n average, whle delay penalty ranged from 0% to 7% beng 13% n average. These fgures are gven excludng the RF, whch exhbted 51% ncrease n delay and 11% ncrease n area for a power consumpton drop of 13%. The exceptonal behavor of the RF s caused by domnance of a sngle standard cell, the flp-flop regster, havng only a few dscrete mplementatons. The results ndcate also that consderable dfferences n performance metrcs are

4 ncurred by synthess alone. The lowest delay fgures dffer from the hghest by a factor rangng from.5 to 5.8 beng 3.7 n average. The correspondng factor for area fgures ranges from 1.3 to 3.5 beng.3 n average, and for power consumpton fgures from 1.6 to 3.9 beng.5 n average. ALU control logc MAC multpler cycle multpler regster fle SCC shfter Table 1. Delay fgures from synthess n nanoseconds. ALU control logc MAC multpler cycle multpler regster fle SCC shfter Table. Slcon area fgures from synthess n square mcrons. ALU control logc MAC multpler cycle multpler regster fle SCC shfter Table 3. Power dsspaton fgures from synthess n mllwatts at 100 MHz. ALU N/A control logc N/A MAC N/A multpler N/A -cycle multpler N/A regster fle N/A SCC N/A shfter N/A Table 4. Rent's exponents (area-optmzed) as a functon of delay constrant.

5 ALU N/A control logc N/A MAC N/A multpler N/A -cycle multpler N/A regster fle N/A SCC N/A shfter N/A Table 5. Rent's exponents (power-optmzed) as a functon of delay constrant. 5. Rent s Exponents and Regresson Analyss The values of Rent's exponent p found n the lterature very often refer to a specfc desgn case mplemented wth specfc technology and specfc logc desgn style. Hence, there s a need to derve Rent's exponent for ndvdual blocks separately. Because n the logc synthess process a CAD tool changes the organzaton and type of standard cell components accordng to varous delay, area and power consumpton constrants, we need to defne our own Rent's exponent for each ndvdual block as a functon of a specfc constrant. In ths paper we have used only seven dfferent delay constrants as explaned earler n secton 4. We have used synthess results to defne a specfc Rent's exponent p for each block used n our XIRISC processor case study as a functon of delay constrant. Lnear regresson analyss s made for Rent's exponent varaton as a functon of delay constrant [9]. Error functon s gven by () 1 N J = ε () N = 1 where ε s d -(b+w x ) where d s here the real exponent value and x s here the delay constrant. Ths s a mean square error (MSE) functon. Our am s to mnmze the error functon by settng partal dervatves of J to zero wth respect to an ntercept value b and a slope w. After dong ths the followng equatons are derved [9] = x d x xd b (3) [ N ( x xavg ) ] ( )( ) = x xavg d davg w (4) ( x xavg ) where x s here the delay constrant and d s the real exponent value. Symbols x avg and d avg descrbe average (mean) values of the delay constrant and Rent's exponent, respectvely. Addtonally, there are two separately optmzed cases for dervng Rent's exponent: areaoptmzed case and power consumpton-optmzed case. For both cases we use the nformaton receved from the synthess report and assume that a standard -nput NAND gate wth normal drve strength, fan-out of and an nput rse tme of 17 ps represents an average gate. In the frst case we use area value extracted from the synthess report and n the latter case we use power consumpton value from the report. These two cases gve a bt dfferent Rent s exponent values and also dfferent regresson lnes whch can then be appled separately n area and power consumpton estmaton. One must notce that these values and regresson lnes apply only to ths specfc desgn style (statc CMOS gates) and ths specfc technology (0.18 µm n our case). The advantage s that we can vary the block delays as long as we stay nsde the boundary values. Thus the analyss presented here apples as well to totally synchronous (Sync), totally asynchronous (Async) or globally asynchronous, locally synchronous (GALS) desgn scheme of future SoC platforms. Tables 4 and 5 gve the real area-optmzed and power-optmzed Rent's exponents calculated by usng Rent's rule and synthess reports for the blocks. Those values are then used n lnear regresson analyss, whch fnally yelds a formula for Rent's exponents as a lnear functon of delay constrant. Some lnear graphs are presented n secton Analyss of the Estmaton Method After performng lnear regresson for calculated Rent s exponent values of each block, the obtaned regresson lne can be used to estmate the value of Rent's exponent as a functon of delay. Fgures and 3 llustrate the regresson lnes derved for the area-optmzed Rent s exponent values of the ALU and RF blocks respectvely. Fgures 4 and 5 depct regresson lnes for the power consumpton-optmzed Rent s exponent values of the control logc and two-cycle multpler. An arrow s used to mark the pont of greatest devaton from the regresson lne. For all blocks, the curve shape s very smlar for the area-optmzed and power consumpton-optmzed Rent s exponents. In the regresson procedure, all desgn ponts

6 have been gven equal weght. In general, there are more evaluated desgn ponts n the neghborhood of the mnmum achevable delay due to the synthess procedure. Hence, the desgns close to the mnmum delay have hgher weght n the dervaton of the regresson lne. To evaluate the hghest possble error n estmaton results, the pont on the regresson lne correspondng to the pont of greatest devaton was used. The obtaned results were then compared to the synthess results assocated wth the pont. Results of ths comparson are gathered together nto table 6. In addton to the error percentages of area and power consumpton estmates, the error percentages of respectve Rent s exponents are shown to llustrate the fact that a small change n the value of Rent s exponent results n consderable alteraton of the estmaton result. It has to be notced that the absolute maxmum error mght be found n between some of the evaluated desgn ponts. Fgure 4. Regresson lne derved for poweroptmzed Rent s exponents of the control logc. Fgure. Regresson lne derved for area-optmzed Rent s exponents of the arthmetc logc unt. Fgure 3. Regresson lne derved for area-optmzed Rent s exponents of the regster fle. Fgure 5. Regresson lne derved for poweroptmzed Rent s exponents of the -cycle multpler. ERROR IN EXPONENT (A / P) ERROR IN CONSUMPTION ERROR IN ALU.0 /.5% 13.4% 17.7% control logc.1 /.5% 17.5% 17.3% MAC 1.9 /.8% 17.4% 7.5% multpler.5 / 1.8% 7.4% 13.8% -cycle.8 / 3.3% 33.4% 3.3% multpler regster fle 3.6 / 3.3% 40.8% 33.3% SCC 0.6 / 0.7% 4.4% 6.3% shfter 5.3 / 4.3% 49.3% 38.% Table 6. Maxmum errors n area and power consumpton when usng Rent's exponent values wth the greatest devaton from regresson lne. Apparently, the modelng accuracy vared wth logc type. Snce Rent s rule assumes random logc, the blocks

7 that are mostly comprsed of combnatonal logc, the ALU, control logc, and SCC, were modeled most accurately. The RF and shfter blocks exhbt hgh error percentage due to a regular nner structure that does not obey the Rent s rule. They consst manly of flp-flop regsters for whch only a few dscrete mplementatons are avalable n the standard cell lbrary. Ths causes nonlnear dscontnutes, such as n fgure 3, to appear nsde ther physcal-level desgn spaces. For the group of multpler-based blocks, moderate modelng accuracy was acheved. Referrng to fgure 5, ths group exhbts abrupt changes that are almost orthogonal to the regresson lne. Ths can be explaned by topology changes beng allowed for the synthess tool. Also characterstc but not unque to ths group was the tendency of Rent s exponent to grow towards the low-delay mplementatons. It was observed that whenever Rent s exponent exhbted an abrupt change, also Rent s constant was dramatcally altered. Because Rent s constant s defned by a number of connectons between a logc gate and ts surroundngs, t s obvous that ths abruptness s due to synthess tool beng forced to utlze smaller gates to meet the tmng constrant. For example, the most dramatc ncrease of Rent s exponent vsble on fgure 5 s accompaned by Rent s constant drop of 34% ndcatng consderably smaller logc gates n the desgn. Fnally, a cycle tme estmate was done for the processor based on the assumpton that the cycle tme s defned by the executon stage. In ths case we dd not take on-chp memory nto account n our calculatons. The cycle tme was assumed to consst of the sum of the delays n a logc block tself (based on the synthess results we here assumed that MAC has the longest delay), n the global wre and n a ppelne regster. We used 0.3 µm wde and µm thck, metal 4 wre for global sgnalng. RLC delay equaton presented n [10] was used for global wre delay, synthess results for logc delay and standard cell lbrary nformaton for the ppelne regster. For 85% delay constrants (see Tables 1-3), cycle tme n the XIRISC processor was ns (logc 95.3%, global wre 0.1%, ppelne regster 4.6%). For 70% and 55% delay constrants cycle tmes were ns and ns, respectvely. The relatve delay of logc was stll 93.5% and 9.5 %, respectvely. These relatvely hgh logc delay percentages gve a hnt of the fact that global wre delay s not so crtcal f proper ppelnng s used and there are bottleneck logc blocks n the desgn causng hgh cycle tmes for the processor. 7. Concluson Development of an early desgn phase performance estmaton method was descrbed for logc blocks of the XIRISC processor core. Compared to tradtonal approaches, whch use a sngle average exponent for all logc, we used block-wse exponents and took varaton due to varyng tmng constrants nto account. We notced a large varaton n Rent s exponent between dfferent blocks: the exponent value ranged from 0.9 to Bggest estmaton naccuraces orgnate from the synthess tool tendency to abruptly change average logc gate sze to meet a tghter delay constrant. Restrctng the set of logc gates allowed for the synthess tool e.g. to gates havng a maxmum of 3 nputs would not affect the delay or power optmzaton results very much, but would facltate more accurate performance modelng. Focus of future research wll be n the neghborhood of the abrupt changes n average gate sze, that s, abrupt changes n the Rent s constant. It s antcpated that usng polynomal regresson nstead of lnear regresson would result n more accurate estmates of the performance metrcs. Acknowledgements Gven the opportunty we acknowledge Fabo Camp for provdng us access to nvaluable proflng nformaton on algorthms runnng on XIRISC. References [1] H.B. Bakoglu, Crcuts, Interconnectons, and Packagng for VLSI, Addson-Wesley Publshng Company Inc., [] G.A. Sa-Halasz, Drectons n Future Hgh-End Processors, n Proc. IEEE Internatonal Conference on Computer Desgn, 199, pp [3] D.C. Edelsten, G.A. Sa-Halasz, Y.J. M, VLSI On-Chp Interconnecton Performance Smulatons and Measurements, IBM Journal of Research and Development, Vol. 39, No. 4, July 1995, pp [4] D. Sylvester, K. Keutzer, Gettng to the bottom of deep submcron, Proceedngs of Internatonal Conference on CAD, pp , [5] B. Geuskens, K. Rose, Modelng Mcroprocessor Performance, Kluwer Academc Publshers, [6] W. Donath, Placement and Average Interconnecton Lengths of Computer Logc, IEEE Transactons on Crcuts and Systems, No. 4, Aprl 1979, pp [7] B.S. Landman, R.L. Russo, "On a Pn Versus Block Relatonshp for Parttons of Logc Graphs", IEEE Transactons on Computers, Vol. C-0, No. 1, December 1971, pp [8] F. Camp, R. Canegallo, R. Guerrer, IP-Reusable 3-Bt VLIW Rsc Core, n Proc. European Sold-State Crcuts Conference, 001, pp [9] J.C. Prncpe, N.R. Eulano, W.C. Lefebvre, Neural and adaptve systems: Fundamentals through smulatons, John Wley & Sons, Inc, 000, pp [10] Y.I. Ismal, E.G. Fredman, "Effects of Inductance on the Propagaton Delay and Repeater Inserton n VLSI Crcuts, IEEE Transactons on VLSI Systems, vol. 8, no, Aprl 000, pp

High Speed, Low Power And Area Efficient Carry-Select Adder

High Speed, Low Power And Area Efficient Carry-Select Adder Internatonal Journal of Scence, Engneerng and Technology Research (IJSETR), Volume 5, Issue 3, March 2016 Hgh Speed, Low Power And Area Effcent Carry-Select Adder Nelant Harsh M.tech.VLSI Desgn Electroncs

More information

Uncertainty in measurements of power and energy on power networks

Uncertainty in measurements of power and energy on power networks Uncertanty n measurements of power and energy on power networks E. Manov, N. Kolev Department of Measurement and Instrumentaton, Techncal Unversty Sofa, bul. Klment Ohrdsk No8, bl., 000 Sofa, Bulgara Tel./fax:

More information

To: Professor Avitabile Date: February 4, 2003 From: Mechanical Student Subject: Experiment #1 Numerical Methods Using Excel

To: Professor Avitabile Date: February 4, 2003 From: Mechanical Student Subject: Experiment #1 Numerical Methods Using Excel To: Professor Avtable Date: February 4, 3 From: Mechancal Student Subject:.3 Experment # Numercal Methods Usng Excel Introducton Mcrosoft Excel s a spreadsheet program that can be used for data analyss,

More information

High Speed ADC Sampling Transients

High Speed ADC Sampling Transients Hgh Speed ADC Samplng Transents Doug Stuetzle Hgh speed analog to dgtal converters (ADCs) are, at the analog sgnal nterface, track and hold devces. As such, they nclude samplng capactors and samplng swtches.

More information

IEE Electronics Letters, vol 34, no 17, August 1998, pp ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES

IEE Electronics Letters, vol 34, no 17, August 1998, pp ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES IEE Electroncs Letters, vol 34, no 17, August 1998, pp. 1622-1624. ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES A. Chatzgeorgou, S. Nkolads 1 and I. Tsoukalas Computer Scence Department, 1 Department

More information

PRACTICAL, COMPUTATION EFFICIENT HIGH-ORDER NEURAL NETWORK FOR ROTATION AND SHIFT INVARIANT PATTERN RECOGNITION. Evgeny Artyomov and Orly Yadid-Pecht

PRACTICAL, COMPUTATION EFFICIENT HIGH-ORDER NEURAL NETWORK FOR ROTATION AND SHIFT INVARIANT PATTERN RECOGNITION. Evgeny Artyomov and Orly Yadid-Pecht 68 Internatonal Journal "Informaton Theores & Applcatons" Vol.11 PRACTICAL, COMPUTATION EFFICIENT HIGH-ORDER NEURAL NETWORK FOR ROTATION AND SHIFT INVARIANT PATTERN RECOGNITION Evgeny Artyomov and Orly

More information

TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS TN TERMINATON FOR POINT-TO-POINT SYSTEMS. Zo = L C. ω - angular frequency = 2πf

TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS TN TERMINATON FOR POINT-TO-POINT SYSTEMS. Zo = L C. ω - angular frequency = 2πf TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS INTRODUCTION Because dgtal sgnal rates n computng systems are ncreasng at an astonshng rate, sgnal ntegrty ssues have become far more mportant to

More information

Research of Dispatching Method in Elevator Group Control System Based on Fuzzy Neural Network. Yufeng Dai a, Yun Du b

Research of Dispatching Method in Elevator Group Control System Based on Fuzzy Neural Network. Yufeng Dai a, Yun Du b 2nd Internatonal Conference on Computer Engneerng, Informaton Scence & Applcaton Technology (ICCIA 207) Research of Dspatchng Method n Elevator Group Control System Based on Fuzzy Neural Network Yufeng

More information

antenna antenna (4.139)

antenna antenna (4.139) .6.6 The Lmts of Usable Input Levels for LNAs The sgnal voltage level delvered to the nput of an LNA from the antenna may vary n a very wde nterval, from very weak sgnals comparable to the nose level,

More information

Dynamic Optimization. Assignment 1. Sasanka Nagavalli January 29, 2013 Robotics Institute Carnegie Mellon University

Dynamic Optimization. Assignment 1. Sasanka Nagavalli January 29, 2013 Robotics Institute Carnegie Mellon University Dynamc Optmzaton Assgnment 1 Sasanka Nagavall snagaval@andrew.cmu.edu 16-745 January 29, 213 Robotcs Insttute Carnege Mellon Unversty Table of Contents 1. Problem and Approach... 1 2. Optmzaton wthout

More information

MTBF PREDICTION REPORT

MTBF PREDICTION REPORT MTBF PREDICTION REPORT PRODUCT NAME: BLE112-A-V2 Issued date: 01-23-2015 Rev:1.0 Copyrght@2015 Bluegga Technologes. All rghts reserved. 1 MTBF PREDICTION REPORT... 1 PRODUCT NAME: BLE112-A-V2... 1 1.0

More information

Dynamic Power Consumption in Virtex -II FPGA Family

Dynamic Power Consumption in Virtex -II FPGA Family Dynamc Power Consumpton n Vrtex -II FPGA Famly L Shang Prnceton Unversty EE Dept., Prnceton, NJ 08540 lshang@ee.prnceton.edu Alreza S Kavan Xlnx Inc. 2100 Logc Dr., San Jose, CA 95124 alreza.kavan@xlnx.com

More information

NATIONAL RADIO ASTRONOMY OBSERVATORY Green Bank, West Virginia SPECTRAL PROCESSOR MEMO NO. 25. MEMORANDUM February 13, 1985

NATIONAL RADIO ASTRONOMY OBSERVATORY Green Bank, West Virginia SPECTRAL PROCESSOR MEMO NO. 25. MEMORANDUM February 13, 1985 NATONAL RADO ASTRONOMY OBSERVATORY Green Bank, West Vrgna SPECTRAL PROCESSOR MEMO NO. 25 MEMORANDUM February 13, 1985 To: Spectral Processor Group From: R. Fsher Subj: Some Experments wth an nteger FFT

More information

Vectorless Analysis of Supply Noise Induced Delay Variation

Vectorless Analysis of Supply Noise Induced Delay Variation Vectorless Analyss of Supply Nose Induced Delay Varaton Sanjay Pant *, Davd Blaauw *, Vladmr Zolotov **, Savthr Sundareswaran **, Rajendran Panda ** {spant,blaauw}@umch.edu, {vladmr.zolotov,savthr.sundareswaran,rajendran.panda}@motorola.com

More information

A High-Speed Multiplication Algorithm Using Modified Partial Product Reduction Tree

A High-Speed Multiplication Algorithm Using Modified Partial Product Reduction Tree World Academy of Scence, Engneerng and Technology Internatonal Journal of Electrcal and Computer Engneerng Vol:4, No:, 200 A Hgh-Speed Multplcaton Algorthm Usng Modfed Partal Product educton Tree P Asadee

More information

HIGH PERFORMANCE ADDER USING VARIABLE THRESHOLD MOSFET IN 45NM TECHNOLOGY

HIGH PERFORMANCE ADDER USING VARIABLE THRESHOLD MOSFET IN 45NM TECHNOLOGY Internatonal Journal of Electrcal, Electroncs and Computer Systems, (IJEECS) HIGH PERFORMANCE ADDER USING VARIABLE THRESHOLD MOSFET IN 45NM TECHNOLOGY 1 Supryo Srman, 2 Dptendu Ku. Kundu, 3 Saradndu Panda,

More information

Passive Filters. References: Barbow (pp ), Hayes & Horowitz (pp 32-60), Rizzoni (Chap. 6)

Passive Filters. References: Barbow (pp ), Hayes & Horowitz (pp 32-60), Rizzoni (Chap. 6) Passve Flters eferences: Barbow (pp 6575), Hayes & Horowtz (pp 360), zzon (Chap. 6) Frequencyselectve or flter crcuts pass to the output only those nput sgnals that are n a desred range of frequences (called

More information

Latency Insertion Method (LIM) for IR Drop Analysis in Power Grid

Latency Insertion Method (LIM) for IR Drop Analysis in Power Grid Abstract Latency Inserton Method (LIM) for IR Drop Analyss n Power Grd Dmtr Klokotov, and José Schutt-Ané Wth the steadly growng number of transstors on a chp, and constantly tghtenng voltage budgets,

More information

A study of turbo codes for multilevel modulations in Gaussian and mobile channels

A study of turbo codes for multilevel modulations in Gaussian and mobile channels A study of turbo codes for multlevel modulatons n Gaussan and moble channels Lamne Sylla and Paul Forter (sylla, forter)@gel.ulaval.ca Department of Electrcal and Computer Engneerng Laval Unversty, Ste-Foy,

More information

Figure 1. DC-DC Boost Converter

Figure 1. DC-DC Boost Converter EE46, Power Electroncs, DC-DC Boost Converter Verson Oct. 3, 11 Overvew Boost converters make t possble to effcently convert a DC voltage from a lower level to a hgher level. Theory of Operaton Relaton

More information

Calculation of the received voltage due to the radiation from multiple co-frequency sources

Calculation of the received voltage due to the radiation from multiple co-frequency sources Rec. ITU-R SM.1271-0 1 RECOMMENDATION ITU-R SM.1271-0 * EFFICIENT SPECTRUM UTILIZATION USING PROBABILISTIC METHODS Rec. ITU-R SM.1271 (1997) The ITU Radocommuncaton Assembly, consderng a) that communcatons

More information

Figure.1. Basic model of an impedance source converter JCHPS Special Issue 12: August Page 13

Figure.1. Basic model of an impedance source converter JCHPS Special Issue 12: August Page 13 A Hgh Gan DC - DC Converter wth Soft Swtchng and Power actor Correcton for Renewable Energy Applcaton T. Selvakumaran* and. Svachdambaranathan Department of EEE, Sathyabama Unversty, Chenna, Inda. *Correspondng

More information

ECE315 / ECE515 Lecture 5 Date:

ECE315 / ECE515 Lecture 5 Date: Lecture 5 Date: 18.08.2016 Common Source Amplfer MOSFET Amplfer Dstorton Example 1 One Realstc CS Amplfer Crcut: C c1 : Couplng Capactor serves as perfect short crcut at all sgnal frequences whle blockng

More information

Control Chart. Control Chart - history. Process in control. Developed in 1920 s. By Dr. Walter A. Shewhart

Control Chart. Control Chart - history. Process in control. Developed in 1920 s. By Dr. Walter A. Shewhart Control Chart - hstory Control Chart Developed n 920 s By Dr. Walter A. Shewhart 2 Process n control A phenomenon s sad to be controlled when, through the use of past experence, we can predct, at least

More information

Application of Intelligent Voltage Control System to Korean Power Systems

Application of Intelligent Voltage Control System to Korean Power Systems Applcaton of Intellgent Voltage Control System to Korean Power Systems WonKun Yu a,1 and HeungJae Lee b, *,2 a Department of Power System, Seol Unversty, South Korea. b Department of Power System, Kwangwoon

More information

A NSGA-II algorithm to solve a bi-objective optimization of the redundancy allocation problem for series-parallel systems

A NSGA-II algorithm to solve a bi-objective optimization of the redundancy allocation problem for series-parallel systems 0 nd Internatonal Conference on Industral Technology and Management (ICITM 0) IPCSIT vol. 49 (0) (0) IACSIT Press, Sngapore DOI: 0.776/IPCSIT.0.V49.8 A NSGA-II algorthm to solve a b-obectve optmzaton of

More information

Prevention of Sequential Message Loss in CAN Systems

Prevention of Sequential Message Loss in CAN Systems Preventon of Sequental Message Loss n CAN Systems Shengbng Jang Electrcal & Controls Integraton Lab GM R&D Center, MC: 480-106-390 30500 Mound Road, Warren, MI 48090 shengbng.jang@gm.com Ratnesh Kumar

More information

Topology Control for C-RAN Architecture Based on Complex Network

Topology Control for C-RAN Architecture Based on Complex Network Topology Control for C-RAN Archtecture Based on Complex Network Zhanun Lu, Yung He, Yunpeng L, Zhaoy L, Ka Dng Chongqng key laboratory of moble communcatons technology Chongqng unversty of post and telecommuncaton

More information

Figure 1. DC-DC Boost Converter

Figure 1. DC-DC Boost Converter EE36L, Power Electroncs, DC-DC Boost Converter Verson Feb. 8, 9 Overvew Boost converters make t possble to effcently convert a DC voltage from a lower level to a hgher level. Theory of Operaton Relaton

More information

PERFORMANCE EVALUATION OF BOOTH AND WALLACE MULTIPLIER USING FIR FILTER. Chirala Engineering College, Chirala.

PERFORMANCE EVALUATION OF BOOTH AND WALLACE MULTIPLIER USING FIR FILTER. Chirala Engineering College, Chirala. PERFORMANCE EVALUATION OF BOOTH AND WALLACE MULTIPLIER USING FIR FILTER 1 H. RAGHUNATHA RAO, T. ASHOK KUMAR & 3 N.SURESH BABU 1,&3 Department of Electroncs and Communcaton Engneerng, Chrala Engneerng College,

More information

Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation

Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation Total Power Mnmzaton n Gltch-Free CMOS Crcuts Consderng Process Varaton Abstract Compared to subthreshold age, dynamc power s normally much less senstve to the process varaton due to ts approxmately lnear

More information

Graph Method for Solving Switched Capacitors Circuits

Graph Method for Solving Switched Capacitors Circuits Recent Advances n rcuts, ystems, gnal and Telecommuncatons Graph Method for olvng wtched apactors rcuts BHUMIL BRTNÍ Department of lectroncs and Informatcs ollege of Polytechncs Jhlava Tolstého 6, 586

More information

RC Filters TEP Related Topics Principle Equipment

RC Filters TEP Related Topics Principle Equipment RC Flters TEP Related Topcs Hgh-pass, low-pass, Wen-Robnson brdge, parallel-t flters, dfferentatng network, ntegratng network, step response, square wave, transfer functon. Prncple Resstor-Capactor (RC)

More information

Efficient Large Integers Arithmetic by Adopting Squaring and Complement Recoding Techniques

Efficient Large Integers Arithmetic by Adopting Squaring and Complement Recoding Techniques The th Worshop on Combnatoral Mathematcs and Computaton Theory Effcent Large Integers Arthmetc by Adoptng Squarng and Complement Recodng Technques Cha-Long Wu*, Der-Chyuan Lou, and Te-Jen Chang *Department

More information

ANNUAL OF NAVIGATION 11/2006

ANNUAL OF NAVIGATION 11/2006 ANNUAL OF NAVIGATION 11/2006 TOMASZ PRACZYK Naval Unversty of Gdyna A FEEDFORWARD LINEAR NEURAL NETWORK WITH HEBBA SELFORGANIZATION IN RADAR IMAGE COMPRESSION ABSTRACT The artcle presents the applcaton

More information

@IJMTER-2015, All rights Reserved 383

@IJMTER-2015, All rights Reserved 383 SIL of a Safety Fuzzy Logc Controller 1oo usng Fault Tree Analyss (FAT and realablty Block agram (RB r.-ing Mohammed Bsss 1, Fatma Ezzahra Nadr, Prof. Amam Benassa 3 1,,3 Faculty of Scence and Technology,

More information

Understanding the Spike Algorithm

Understanding the Spike Algorithm Understandng the Spke Algorthm Vctor Ejkhout and Robert van de Gejn May, ntroducton The parallel soluton of lnear systems has a long hstory, spannng both drect and teratve methods Whle drect methods exst

More information

EE 508 Lecture 6. Degrees of Freedom The Approximation Problem

EE 508 Lecture 6. Degrees of Freedom The Approximation Problem EE 508 Lecture 6 Degrees of Freedom The Approxmaton Problem Revew from Last Tme Desgn Strategy Theorem: A crcut wth transfer functon T(s) can be obtaned from a crcut wth normalzed transfer functon T n

More information

Resource Allocation Optimization for Device-to- Device Communication Underlaying Cellular Networks

Resource Allocation Optimization for Device-to- Device Communication Underlaying Cellular Networks Resource Allocaton Optmzaton for Devce-to- Devce Communcaton Underlayng Cellular Networks Bn Wang, L Chen, Xaohang Chen, Xn Zhang, and Dacheng Yang Wreless Theores and Technologes (WT&T) Bejng Unversty

More information

Weighted Penalty Model for Content Balancing in CATS

Weighted Penalty Model for Content Balancing in CATS Weghted Penalty Model for Content Balancng n CATS Chngwe Davd Shn Yuehme Chen Walter Denny Way Len Swanson Aprl 2009 Usng assessment and research to promote learnng WPM for CAT Content Balancng 2 Abstract

More information

A Comparison of Two Equivalent Real Formulations for Complex-Valued Linear Systems Part 2: Results

A Comparison of Two Equivalent Real Formulations for Complex-Valued Linear Systems Part 2: Results AMERICAN JOURNAL OF UNDERGRADUATE RESEARCH VOL. 1 NO. () A Comparson of Two Equvalent Real Formulatons for Complex-Valued Lnear Systems Part : Results Abnta Munankarmy and Mchael A. Heroux Department of

More information

MASTER TIMING AND TOF MODULE-

MASTER TIMING AND TOF MODULE- MASTER TMNG AND TOF MODULE- G. Mazaher Stanford Lnear Accelerator Center, Stanford Unversty, Stanford, CA 9409 USA SLAC-PUB-66 November 99 (/E) Abstract n conjuncton wth the development of a Beam Sze Montor

More information

Analysis of Time Delays in Synchronous and. Asynchronous Control Loops. Bj rn Wittenmark, Ben Bastian, and Johan Nilsson

Analysis of Time Delays in Synchronous and. Asynchronous Control Loops. Bj rn Wittenmark, Ben Bastian, and Johan Nilsson 37th CDC, Tampa, December 1998 Analyss of Delays n Synchronous and Asynchronous Control Loops Bj rn Wttenmark, Ben Bastan, and Johan Nlsson emal: bjorn@control.lth.se, ben@control.lth.se, and johan@control.lth.se

More information

Comparison of Two Measurement Devices I. Fundamental Ideas.

Comparison of Two Measurement Devices I. Fundamental Ideas. Comparson of Two Measurement Devces I. Fundamental Ideas. ASQ-RS Qualty Conference March 16, 005 Joseph G. Voelkel, COE, RIT Bruce Sskowsk Rechert, Inc. Topcs The Problem, Eample, Mathematcal Model One

More information

Optimal Sizing and Allocation of Residential Photovoltaic Panels in a Distribution Network for Ancillary Services Application

Optimal Sizing and Allocation of Residential Photovoltaic Panels in a Distribution Network for Ancillary Services Application Optmal Szng and Allocaton of Resdental Photovoltac Panels n a Dstrbuton Networ for Ancllary Servces Applcaton Reza Ahmad Kordhel, Student Member, IEEE, S. Al Pourmousav, Student Member, IEEE, Jayarshnan

More information

Design and Implementation of DDFS Based on Quasi-linear Interpolation Algorithm

Design and Implementation of DDFS Based on Quasi-linear Interpolation Algorithm Desgn and Implementaton of DDFS Based on Quas-lnear Interpolaton Algorthm We Wang a, Yuanyuan Xu b and Hao Yang c College of Electroncs Engneerng, Chongqng Unversty of Posts and Telecommuncatons, Chongqng

More information

Walsh Function Based Synthesis Method of PWM Pattern for Full-Bridge Inverter

Walsh Function Based Synthesis Method of PWM Pattern for Full-Bridge Inverter Walsh Functon Based Synthess Method of PWM Pattern for Full-Brdge Inverter Sej Kondo and Krt Choesa Nagaoka Unversty of Technology 63-, Kamtomoka-cho, Nagaoka 9-, JAPAN Fax: +8-58-7-95, Phone: +8-58-7-957

More information

A Mathematical Solution to Power Optimal Pipeline Design by Utilizing Soft Edge Flip-Flops

A Mathematical Solution to Power Optimal Pipeline Design by Utilizing Soft Edge Flip-Flops A Mathematcal Soluton to Power Optmal Ppelne Desgn by Utlzng Soft Edge Flp-Flops Mohammad Ghasemazar, Behnam Amelfard and Massoud Pedram Unversty of Southern Calforna Department of Electrcal Engneerng

More information

4.3- Modeling the Diode Forward Characteristic

4.3- Modeling the Diode Forward Characteristic 2/8/2012 3_3 Modelng the ode Forward Characterstcs 1/3 4.3- Modelng the ode Forward Characterstc Readng Assgnment: pp. 179-188 How do we analyze crcuts wth juncton dodes? 2 ways: Exact Solutons ffcult!

More information

Shunt Active Filters (SAF)

Shunt Active Filters (SAF) EN-TH05-/004 Martt Tuomanen (9) Shunt Actve Flters (SAF) Operaton prncple of a Shunt Actve Flter. Non-lnear loads lke Varable Speed Drves, Unnterrupted Power Supples and all knd of rectfers draw a non-snusodal

More information

A Fuzzy-based Routing Strategy for Multihop Cognitive Radio Networks

A Fuzzy-based Routing Strategy for Multihop Cognitive Radio Networks 74 Internatonal Journal of Communcaton Networks and Informaton Securty (IJCNIS) Vol. 3, No., Aprl 0 A Fuzzy-based Routng Strategy for Multhop Cogntve Rado Networks Al El Masr, Naceur Malouch and Hcham

More information

Parameter Free Iterative Decoding Metrics for Non-Coherent Orthogonal Modulation

Parameter Free Iterative Decoding Metrics for Non-Coherent Orthogonal Modulation 1 Parameter Free Iteratve Decodng Metrcs for Non-Coherent Orthogonal Modulaton Albert Gullén Fàbregas and Alex Grant Abstract We study decoder metrcs suted for teratve decodng of non-coherently detected

More information

Learning Ensembles of Convolutional Neural Networks

Learning Ensembles of Convolutional Neural Networks Learnng Ensembles of Convolutonal Neural Networks Lran Chen The Unversty of Chcago Faculty Mentor: Greg Shakhnarovch Toyota Technologcal Insttute at Chcago 1 Introducton Convolutonal Neural Networks (CNN)

More information

Chapter 2 Two-Degree-of-Freedom PID Controllers Structures

Chapter 2 Two-Degree-of-Freedom PID Controllers Structures Chapter 2 Two-Degree-of-Freedom PID Controllers Structures As n most of the exstng ndustral process control applcatons, the desred value of the controlled varable, or set-pont, normally remans constant

More information

Low Switching Frequency Active Harmonic Elimination in Multilevel Converters with Unequal DC Voltages

Low Switching Frequency Active Harmonic Elimination in Multilevel Converters with Unequal DC Voltages Low Swtchng Frequency Actve Harmonc Elmnaton n Multlevel Converters wth Unequal DC Voltages Zhong Du,, Leon M. Tolbert, John N. Chasson, Hu L The Unversty of Tennessee Electrcal and Computer Engneerng

More information

Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation

Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation 21st Internatonal Conference on VLSI Desgn Total Power Mnmzaton n Gltch-Free CMOS Crcuts Consderng Process Varaton Yuanln Lu * Intel Corporaton Folsom, CA 95630, USA yuanln.lu@ntel.com Abstract Compared

More information

Yield Optimisation of Power-On Reset Cells and Functional Verification

Yield Optimisation of Power-On Reset Cells and Functional Verification Yeld Optmsaton of Power-On Reset Cells and Functonal Verfcaton Dpl.-Ing. Olver Esenberger, Dpl.-Ing. Dr. Gerhard Rapptsch, Dpl.-Ing. Stefan Schneder Dpl.-Ing. Dr. Bernd Obermeer*, Dpl.-Ing. Dpl.-Wrtsch.-Ing.

More information

Estimating Mean Time to Failure in Digital Systems Using Manufacturing Defective Part Level

Estimating Mean Time to Failure in Digital Systems Using Manufacturing Defective Part Level Estmatng Mean Tme to Falure n Dgtal Systems Usng Manufacturng Defectve Part Level Jennfer Dworak, Davd Dorsey, Amy Wang, and M. Ray Mercer Texas A&M Unversty IBM Techncal Contact: Matthew W. Mehalc, PowerPC

More information

Comparative Analysis of Reuse 1 and 3 in Cellular Network Based On SIR Distribution and Rate

Comparative Analysis of Reuse 1 and 3 in Cellular Network Based On SIR Distribution and Rate Comparatve Analyss of Reuse and 3 n ular Network Based On IR Dstrbuton and Rate Chandra Thapa M.Tech. II, DEC V College of Engneerng & Technology R.V.. Nagar, Chttoor-5727, A.P. Inda Emal: chandra2thapa@gmal.com

More information

Priority based Dynamic Multiple Robot Path Planning

Priority based Dynamic Multiple Robot Path Planning 2nd Internatonal Conference on Autonomous obots and Agents Prorty based Dynamc Multple obot Path Plannng Abstract Taxong Zheng Department of Automaton Chongqng Unversty of Post and Telecommuncaton, Chna

More information

Control of Chaos in Positive Output Luo Converter by means of Time Delay Feedback

Control of Chaos in Positive Output Luo Converter by means of Time Delay Feedback Control of Chaos n Postve Output Luo Converter by means of Tme Delay Feedback Nagulapat nkran.ped@gmal.com Abstract Faster development n Dc to Dc converter technques are undergong very drastc changes due

More information

A MODIFIED DIRECTIONAL FREQUENCY REUSE PLAN BASED ON CHANNEL ALTERNATION AND ROTATION

A MODIFIED DIRECTIONAL FREQUENCY REUSE PLAN BASED ON CHANNEL ALTERNATION AND ROTATION A MODIFIED DIRECTIONAL FREQUENCY REUSE PLAN BASED ON CHANNEL ALTERNATION AND ROTATION Vncent A. Nguyen Peng-Jun Wan Ophr Freder Computer Scence Department Illnos Insttute of Technology Chcago, Illnos vnguyen@t.edu,

More information

Rejection of PSK Interference in DS-SS/PSK System Using Adaptive Transversal Filter with Conditional Response Recalculation

Rejection of PSK Interference in DS-SS/PSK System Using Adaptive Transversal Filter with Conditional Response Recalculation SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol., No., November 23, 3-9 Rejecton of PSK Interference n DS-SS/PSK System Usng Adaptve Transversal Flter wth Condtonal Response Recalculaton Zorca Nkolć, Bojan

More information

A Low-power APUF-based Environmental Abnormality Detection Framework

A Low-power APUF-based Environmental Abnormality Detection Framework A Low-power APUF-based Envronmental Abnormalty Detecton Framework Hongxang Gu, Teng Xu and Modrag Potkonjak Computer Scence Department Unversty of Calforna, Los Angeles Los Angeles, Calforna 90095 Emal:

More information

A MODIFIED DIFFERENTIAL EVOLUTION ALGORITHM IN SPARSE LINEAR ANTENNA ARRAY SYNTHESIS

A MODIFIED DIFFERENTIAL EVOLUTION ALGORITHM IN SPARSE LINEAR ANTENNA ARRAY SYNTHESIS A MODIFIED DIFFERENTIAL EVOLUTION ALORITHM IN SPARSE LINEAR ANTENNA ARRAY SYNTHESIS Kaml Dmller Department of Electrcal-Electroncs Engneerng rne Amercan Unversty North Cyprus, Mersn TURKEY kdmller@gau.edu.tr

More information

Chaotic Filter Bank for Computer Cryptography

Chaotic Filter Bank for Computer Cryptography Chaotc Flter Bank for Computer Cryptography Bngo Wng-uen Lng Telephone: 44 () 784894 Fax: 44 () 784893 Emal: HTwng-kuen.lng@kcl.ac.ukTH Department of Electronc Engneerng, Dvson of Engneerng, ng s College

More information

Harmonic Balance of Nonlinear RF Circuits

Harmonic Balance of Nonlinear RF Circuits MICROWAE AND RF DESIGN Harmonc Balance of Nonlnear RF Crcuts Presented by Mchael Steer Readng: Chapter 19, Secton 19. Index: HB Based on materal n Mcrowave and RF Desgn: A Systems Approach, nd Edton, by

More information

Side-Match Vector Quantizers Using Neural Network Based Variance Predictor for Image Coding

Side-Match Vector Quantizers Using Neural Network Based Variance Predictor for Image Coding Sde-Match Vector Quantzers Usng Neural Network Based Varance Predctor for Image Codng Shuangteng Zhang Department of Computer Scence Eastern Kentucky Unversty Rchmond, KY 40475, U.S.A. shuangteng.zhang@eku.edu

More information

Throughput Maximization by Adaptive Threshold Adjustment for AMC Systems

Throughput Maximization by Adaptive Threshold Adjustment for AMC Systems APSIPA ASC 2011 X an Throughput Maxmzaton by Adaptve Threshold Adjustment for AMC Systems We-Shun Lao and Hsuan-Jung Su Graduate Insttute of Communcaton Engneerng Department of Electrcal Engneerng Natonal

More information

Webinar Series TMIP VISION

Webinar Series TMIP VISION Webnar Seres TMIP VISION TMIP provdes techncal support and promotes knowledge and nformaton exchange n the transportaton plannng and modelng communty. DISCLAIMER The vews and opnons expressed durng ths

More information

A Simple Yet Efficient Accuracy Configurable Adder Design

A Simple Yet Efficient Accuracy Configurable Adder Design A Smple Yet Effcent Accuracy Confgurable Adder Desgn Wenbn Xu, Sachn S. Sapatnekar and Jang Hu Department of Electrcal and Computer Engneerng, Texas A&M Unversty Department of Electrcal and Computer Engneerng,

More information

Approximating User Distributions in WCDMA Networks Using 2-D Gaussian

Approximating User Distributions in WCDMA Networks Using 2-D Gaussian CCCT 05: INTERNATIONAL CONFERENCE ON COMPUTING, COMMUNICATIONS, AND CONTROL TECHNOLOGIES 1 Approxmatng User Dstrbutons n CDMA Networks Usng 2-D Gaussan Son NGUYEN and Robert AKL Department of Computer

More information

SRAM Leakage Suppression by Minimizing Standby Supply Voltage

SRAM Leakage Suppression by Minimizing Standby Supply Voltage SRAM Leakage Suppresson by Mnmzng Standby Supply Voltage Hufang Qn, Yu ao, Dejan Markovc, Andre Vladmrescu, and Jan Rabaey Department of EES, Unversty of alforna at Berkeley, Berkeley, A 947, USA Abstract

More information

Optimal Placement of PMU and RTU by Hybrid Genetic Algorithm and Simulated Annealing for Multiarea Power System State Estimation

Optimal Placement of PMU and RTU by Hybrid Genetic Algorithm and Simulated Annealing for Multiarea Power System State Estimation T. Kerdchuen and W. Ongsakul / GMSARN Internatonal Journal (09) - Optmal Placement of and by Hybrd Genetc Algorthm and Smulated Annealng for Multarea Power System State Estmaton Thawatch Kerdchuen and

More information

A Simple Satellite Exclusion Algorithm for Advanced RAIM

A Simple Satellite Exclusion Algorithm for Advanced RAIM A Smple Satellte Excluson Algorthm for Advanced RAIM Juan Blanch, Todd Walter, Per Enge Stanford Unversty ABSTRACT Advanced Recever Autonomous Integrty Montorng s a concept that extends RAIM to mult-constellaton

More information

Power System State Estimation Using Phasor Measurement Units

Power System State Estimation Using Phasor Measurement Units Unversty of Kentucky UKnowledge Theses and Dssertatons--Electrcal and Computer Engneerng Electrcal and Computer Engneerng 213 Power System State Estmaton Usng Phasor Measurement Unts Jaxong Chen Unversty

More information

Micro-grid Inverter Parallel Droop Control Method for Improving Dynamic Properties and the Effect of Power Sharing

Micro-grid Inverter Parallel Droop Control Method for Improving Dynamic Properties and the Effect of Power Sharing 2015 AASRI Internatonal Conference on Industral Electroncs and Applcatons (IEA 2015) Mcro-grd Inverter Parallel Droop Control Method for Improvng Dynamc Propertes and the Effect of Power Sharng aohong

More information

Keywords: Speed binning, delay measurement hardware, process variation.

Keywords: Speed binning, delay measurement hardware, process variation. A Novel On-chp Measurement Hardware for Effcent Speed-Bnnng A. Raychowdhury, S. Ghosh, and K. Roy Department of ECE, Purdue Unversty, IN {araycho, ghosh3, kaushk}@ecn.purdue.edu Abstract Wth the aggressve

More information

California, 4 University of California, Berkeley

California, 4 University of California, Berkeley Dversty Processng WCDMA Cell earcher Implementaton Ahmed M. Eltawl, Eugene Grayver 2, Alreza Targhat, Jean Francos Frgon, Kambz hoarnejad, Hanl Zou 3 and Danjela Cabrc 4 Unversty of Calforna, Los Angeles,

More information

熊本大学学術リポジトリ. Kumamoto University Repositor

熊本大学学術リポジトリ. Kumamoto University Repositor 熊本大学学術リポジトリ Kumamoto Unversty Repostor Ttle Wreless LAN Based Indoor Poston and Its Smulaton Author(s) Ktasuka, Teruak; Nakansh, Tsune CtatonIEEE Pacfc RIM Conference on Comm Computers, and Sgnal Processng

More information

Abstract. 1. Introduction. 2. Control Generated Clocking (CGC) Minimization techniques

Abstract. 1. Introduction. 2. Control Generated Clocking (CGC) Minimization techniques Power Mnmzaton Usng Control Generated Clocks Srkanth Rao M. and S. K. Nandy msrao@rsh.serc.sc.ernet.n, nandy@serc.sc.ernet.n Supercomputer Educaton and Research Center Indan Insttute o Scence Bangalore,

More information

Methods for Preventing Voltage Collapse

Methods for Preventing Voltage Collapse Methods for Preventng Voltage Collapse Cláuda Res 1, Antóno Andrade 2, and F. P. Macel Barbosa 3 1 Telecommuncatons Insttute of Avero Unversty, Unversty Campus of Avero, Portugal cres@av.t.pt 2 Insttute

More information

1 GSW Multipath Channel Models

1 GSW Multipath Channel Models In the general case, the moble rado channel s pretty unpleasant: there are a lot of echoes dstortng the receved sgnal, and the mpulse response keeps changng. Fortunately, there are some smplfyng assumptons

More information

Sensors for Motion and Position Measurement

Sensors for Motion and Position Measurement Sensors for Moton and Poston Measurement Introducton An ntegrated manufacturng envronment conssts of 5 elements:- - Machne tools - Inspecton devces - Materal handlng devces - Packagng machnes - Area where

More information

Unit 1. Current and Voltage U 1 VOLTAGE AND CURRENT. Circuit Basics KVL, KCL, Ohm's Law LED Outputs Buttons/Switch Inputs. Current / Voltage Analogy

Unit 1. Current and Voltage U 1 VOLTAGE AND CURRENT. Circuit Basics KVL, KCL, Ohm's Law LED Outputs Buttons/Switch Inputs. Current / Voltage Analogy ..2 nt Crcut Bascs KVL, KCL, Ohm's Law LED Outputs Buttons/Swtch Inputs VOLTAGE AND CRRENT..4 Current and Voltage Current / Voltage Analogy Charge s measured n unts of Coulombs Current Amount of charge

More information

Enhanced Artificial Neural Networks Using Complex Numbers

Enhanced Artificial Neural Networks Using Complex Numbers Enhanced Artfcal Neural Networks Usng Complex Numers Howard E. Mchel and A. A. S. Awwal Computer Scence Department Unversty of Dayton Dayton, OH 45469-60 mchel@cps.udayton.edu Computer Scence & Engneerng

More information

Implementation Complexity of Bit Permutation Instructions

Implementation Complexity of Bit Permutation Instructions Implementaton Complexty of Bt Permutaton Instructons Zhje Jerry Sh and Ruby B. Lee Department of Electrcal Engneerng, Prnceton Unversty, Prnceton, NJ 085 USA {zsh, rblee}@ee.prnceton.edu Abstract- Several

More information

POWER constraints are a well-known challenge in advanced

POWER constraints are a well-known challenge in advanced A Smple Yet Effcent Accuracy Confgurable Adder Desgn Wenbn Xu, Student Member, IEEE, Sachn S. Sapatnekar, Fellow, IEEE, and Jang Hu, Fellow, IEEE Abstract Approxmate computng s a promsng approach for low

More information

A Novel Optimization of the Distance Source Routing (DSR) Protocol for the Mobile Ad Hoc Networks (MANET)

A Novel Optimization of the Distance Source Routing (DSR) Protocol for the Mobile Ad Hoc Networks (MANET) A Novel Optmzaton of the Dstance Source Routng (DSR) Protocol for the Moble Ad Hoc Networs (MANET) Syed S. Rzv 1, Majd A. Jafr, and Khaled Ellethy Computer Scence and Engneerng Department Unversty of Brdgeport

More information

THE GENERATION OF 400 MW RF PULSES AT X-BAND USING RESONANT DELAY LINES *

THE GENERATION OF 400 MW RF PULSES AT X-BAND USING RESONANT DELAY LINES * SLAC PUB 874 3/1999 THE GENERATION OF 4 MW RF PULSES AT X-BAND USING RESONANT DELAY LINES * Sam G. Tantaw, Arnold E. Vleks, and Rod J. Loewen Stanford Lnear Accelerator Center, Stanford Unversty P.O. Box

More information

A High-Sensitivity Oversampling Digital Signal Detection Technique for CMOS Image Sensors Using Non-destructive Intermediate High-Speed Readout Mode

A High-Sensitivity Oversampling Digital Signal Detection Technique for CMOS Image Sensors Using Non-destructive Intermediate High-Speed Readout Mode A Hgh-Senstvty Oversamplng Dgtal Sgnal Detecton Technque for CMOS Image Sensors Usng Non-destructve Intermedate Hgh-Speed Readout Mode Shoj Kawahto*, Nobuhro Kawa** and Yoshak Tadokoro** *Research Insttute

More information

White Paper. OptiRamp Model-Based Multivariable Predictive Control. Advanced Methodology for Intelligent Control Actions

White Paper. OptiRamp Model-Based Multivariable Predictive Control. Advanced Methodology for Intelligent Control Actions Whte Paper OptRamp Model-Based Multvarable Predctve Control Advanced Methodology for Intellgent Control Actons Vadm Shapro Dmtry Khots, Ph.D. Statstcs & Control, Inc., (S&C) propretary nformaton. All rghts

More information

Beam quality measurements with Shack-Hartmann wavefront sensor and M2-sensor: comparison of two methods

Beam quality measurements with Shack-Hartmann wavefront sensor and M2-sensor: comparison of two methods Beam qualty measurements wth Shack-Hartmann wavefront sensor and M-sensor: comparson of two methods J.V.Sheldakova, A.V.Kudryashov, V.Y.Zavalova, T.Y.Cherezova* Moscow State Open Unversty, Adaptve Optcs

More information

INSTANTANEOUS TORQUE CONTROL OF MICROSTEPPING BIPOLAR PWM DRIVE OF TWO-PHASE STEPPING MOTOR

INSTANTANEOUS TORQUE CONTROL OF MICROSTEPPING BIPOLAR PWM DRIVE OF TWO-PHASE STEPPING MOTOR The 5 th PSU-UNS Internatonal Conference on Engneerng and 537 Technology (ICET-211), Phuket, May 2-3, 211 Prnce of Songkla Unversty, Faculty of Engneerng Hat Ya, Songkhla, Thaland 9112 INSTANTANEOUS TORQUE

More information

Guidelines for CCPR and RMO Bilateral Key Comparisons CCPR Working Group on Key Comparison CCPR-G5 October 10 th, 2014

Guidelines for CCPR and RMO Bilateral Key Comparisons CCPR Working Group on Key Comparison CCPR-G5 October 10 th, 2014 Gudelnes for CCPR and RMO Blateral Key Comparsons CCPR Workng Group on Key Comparson CCPR-G5 October 10 th, 2014 These gudelnes are prepared by CCPR WG-KC and RMO P&R representatves, and approved by CCPR,

More information

The Effect Of Phase-Shifting Transformer On Total Consumers Payments

The Effect Of Phase-Shifting Transformer On Total Consumers Payments Australan Journal of Basc and Appled Scences 5(: 854-85 0 ISSN -88 The Effect Of Phase-Shftng Transformer On Total Consumers Payments R. Jahan Mostafa Nck 3 H. Chahkand Nejad Islamc Azad Unversty Brjand

More information

An Adaptive Over-current Protection Scheme for MV Distribution Networks Including DG

An Adaptive Over-current Protection Scheme for MV Distribution Networks Including DG An Adaptve Over-current Protecton Scheme for MV Dstrbuton Networks Includng DG S.A.M. Javadan Islamc Azad Unversty s.a.m.javadan@gmal.com M.-R. Haghfam Tarbat Modares Unversty haghfam@modares.ac.r P. Barazandeh

More information

LMP Based Zone Formation in Electricity Markets

LMP Based Zone Formation in Electricity Markets 8th WSEAS Internatonal Conference on POWER SYSTEMS (PS 2008), Santander, Cantabra, Span, September 23-25, 2008 LMP Based Zone Formaton n Electrcty Markets SAURABH CHANANA, ASHWANI KUMAR, RAHUL SRIVASTAVA

More information

Exploiting Dynamic Workload Variation in Low Energy Preemptive Task Scheduling

Exploiting Dynamic Workload Variation in Low Energy Preemptive Task Scheduling Explotng Dynamc Worload Varaton n Low Energy Preemptve Tas Schedulng Lap-Fa Leung, Ch-Yng Tsu Department of Electrcal and Electronc Engneerng Hong Kong Unversty of Scence and Technology Clear Water Bay,

More information

DESIGN OF OPTIMIZED FIXED-POINT WCDMA RECEIVER

DESIGN OF OPTIMIZED FIXED-POINT WCDMA RECEIVER DESIGN OF OPTIMIZED FIXED-POINT WCDMA RECEIVER Ha-Nam Nguyen, Danel Menard, and Olver Senteys IRISA/INRIA, Unversty of Rennes, rue de Kerampont F-3 Lannon Emal: hanguyen@rsa.fr ABSTRACT To satsfy energy

More information