Process Variability Modeling for VLSI Circuit Simulation

Size: px
Start display at page:

Download "Process Variability Modeling for VLSI Circuit Simulation"

Transcription

1 Process Varablty Modelng for VLSI Crcut Smulaton Samar K. Saha SuVolta, Inc., 30 D Knowles Drve, Los Gatos, CA, USA, samar@eee.org ABSTRACT Ths paper presents a systematc methodology to develop statstcal compact MOS models for advanced VLSI crcut smulaton. Process varablty n advanced CMOS technologes mposes a serous challenge for computer-aded VLSI crcut desgn. Therefore, statstcal compact model has become ndspensable for realstc assessment of the mpact of random process varablty on advanced VLSI crcut performance. Ths paper descrbes the major parameter set causng local and global process varablty n nanoscale CMOS devces and presents a methodology to generate smplfed statstcal compact MOS models for VLSI crcut smulaton. Keywords: MOS compact models, process varablty, local varatons, global varatons, modelng process varablty, statstcal compact model. INTRODUCTION In advanced CMOS technologes, process varablty severely mpacts delay and power varablty n VLSI devces, crcuts, and chps [] [6]. Typcally, process varablty ncludes local or ntra-de varablty and global or nter-de varablty [3] [6]. Local varablty s the parametrc fluctuatons between dentcally desgned MOSFETs wthn a short dstance [3] [6]. And, global varablty refers to such fluctuatons between dentcal MOSFETs separated by a longer dstance or fabrcated at dfferent tme [3] [6]. Local varablty s wthn a de, whereas global varablty s from de to de, wafer to wafer, or lot to lot. Global varablty causes a shft n the mean value of senstve desgn parameters ncludng channel length (L), channel wdth (W), layer thckness, resstvty, dopng concentraton (N A ), and body effect (K) [3] [5]. Local varablty ntroduces systematc varablty n patternng and random varablty n patterns [3] [5]. Systematc varablty ncludes the varablty caused by optcal-promty correcton, phase-shft maskng, layoutnduced stran, and well-promty effects. Random varablty ncludes random dscrete dopng (RDD), lneedge roughness (LER), lne-wdth roughness (LWR), nterface roughness, gate-ode thckness (T o ) varaton, poly-slcon granularty, and hgh-k delectrc morphology wth metal gates [3] [5]. Systematc varablty can be addressed through layout desgn and more controlled resoluton-enhancement technques. However, addressng the mpact of random varablty requres nnovatve process and crcut desgn technques and statstcal devce models for accurate analyss of VLSI crcuts [5], [6]. For technology nodes below 90 nm, the mpact of random local varablty on crcut performance s becomng ncreasngly mportant. The mpact of local varablty on yeld n VLSI crcuts such as SRAM necesstates the development of new desgn technques. Hence, accurate characterzaton and modelng of local process varablty for crcut smulatons are mperatve to accurately predct yeld and evaluate the benefts of these new crcut desgn technques. To acheve accurate crcut smulaton results, local varablty must be accurately modeled at the process temperature and voltage condtons that most affect the crcut yeld. Smlarly, global process varablty must, also, be accurately characterzed and modeled to accurately predct across the chp performance fluctuatons n advanced VLSI crcuts. Tradtonally, VLSI crcuts are optmzed usng foundry suppled fed corner models. Due to ncreasng amount of process varablty constrants, a crcut optmzed usng such methodologes s more susceptble to random fluctuatons. Therefore, statstcal desgn methodologes have become ndspensable for modern VLSI crcut desgn. And, statstcal compact model addressng the mpact of both local and global random varablty s crucal for computer-aded desgn and analyss of advanced VLSI crcuts. Statstcal compact models are requred for yeld predcton durng crcut smulatons to mtgate the rsk of yeld loss n advanced VLSI crcuts. The objectve of ths paper s to present a systematc methodology to develop statstcal compact MOS models for accurate desgn and analyss of advanced VLSI crcuts. In order to acheve ths goal, frst of all, the major parameter set causng local and global process varablty s determned. Then the procedure to model the local and global process varablty s descrbed. Fnally, methodology to generate statstcal compact MOS models to account for the mpact of process varablty n VLSI crcuts s presented. CRITICAL DEVICE PARAMETERS In order to generate compact varablty model for crcut smulaton, frst of all, the crtcal devce parameters causng process varablty are determned.. Local Process Varablty Local process varablty or msmatch between dentcally desgned transstors s caused by mcroscopc process that makes every transstor dfferent from ts neghbors. As a result, a devce parameter P can be NSTI-Nanotech 0, ISBN Vol., 0 75

2 consdered as consstng of a fed component P 0 and a randomly varyng component P' resultng n dfferent values of P for closely apart dentcal pared-transstors. The actual msmatch n P between dentcal-pared transstors s defned by Δ P. For a large number of samples, ΔP converges to a Gaussan dstrbuton. Now, f P s the model parameter causng msmatch ΔP between the paredtransstors, then the varance of relatve dran current, I msmatch between pared-transstors s gven by [7]: σ ΔI / I = N = + I I n = σ + ΔP ρ ( ΔP, ΔP ) + where N s the number of randomly varyng devce parameters contrbutng to I msmatch, σ ΔP s the standard devaton n ΔP and ρ ( ΔP, ΔP +) s the correlaton between Δ P and ΔP +. In order to model I msmatch between pared-transstors, we need to compute the randomly varyng devce parameters Δ P. For any regonal compact MOS models, the smplfed epresson for I s gven by [8]: μ eff W VGS VTH V I0 ep ep ; VGS < VTH L nkt kt I W V μ eff Co VGS VTH V ; VGS > VTH L () where I 0, W,, C o, and V TH, are the and subthreshold current, channel wdth, channel length, nversonlayer moblty, gate ode capactance, and threshold voltage, respectvely; V GS and V are gate and dran voltage, respectvely; and n, k, and T are the dealty factor of subthreshold slope, Boltzmann constant, and ambent temperature, respectvely. From (), we fnd that the value of I depends on the V, W, C, μ, V, V. Consderng parameter set { } TH o the msmatch due to process varatons only, ΔP n () represents ΔV TH, ΔW, Δ ΔT o, and Δ μ eff. Agan, V TH can be epressed as V TH = f ( VT 0, K, φs, VBS ), where V BS s the appled bas at the bulk of MOSFETs and V T0 = V TH at V BS = 0; whereas, K and φ S are the body effect coeffcent and channel surface potental, respectvely. Here, V T0 models the msmatch (ΔI ) n I due to the fluctuatons n N A n the nverson regon of MOSFETs; whereas, K models the msmatch n I (V BS ) due to the fluctuatons n N A n the depleton regon under the gate. We know that K = f ( N A, V ) and wth the change n the value of V BS, BS eff GS () the wdth of the depleton layer under the gate changes. As a result, the amount of bulk charge, qn A changes wth the changes n V BS as shown n Fg. for a graded-retrograde (GR) channel dopng profle [9]; where q s the electronc charge. Thus, the fluctuatons n the vertcal channel dopng under the gate due to process varablty contrbute to the msmatch n I (V BS ). Hence, I (V BS ) msmatch between dentcal pared-transstors due to fluctuatons n the vertcal channel dopng concentraton must be modeled by K. Thus, the set of major devce parameters contrbutng to the msmatch between dentcally desgned transstors wthn a chp s { VT 0, W, μ eff, K}. Here, ΔVT0 models ΔI due to RDD, ΔW and ΔL models ΔI due to LER and LWR, ΔT o models ΔI due to ode thckness fluctuatons, Δμ eff models ΔI due to moblty fluctuatons caused by surface roughness and remote roughness scatterng, and K models ΔI due to fluctuatons n the vertcal channel dopng concentraton. Therefore, n order to model msmatch n VLSI crcuts, the fluctuatons n the crtcal devce parameters due to mcroscopc process varatons must be modeled accurately. Channel Dopng Concentraton (cm -3 ).E+9.E+8.E+7.E+6 d d d Depth n Slcon (nm) Fg.. A typcal MOSFET channel dopng profle from the slcon/slcon-dode nterface at depth = 0 nto the substrate; d, d, and d3 are the depleton wdth due to the appled bas V BS, V BS, and V BS3, respectvely causng V TH (V BS ) varatons due to the fluctuatons n vertcal N A.. Global Process Varablty BS BS3 BS BS3 Global process varablty s caused by non-unform processng temperature as well as fluctuatons of mplant doses across wafers and relatve locaton of devces. The global varaton shfts the average value of devce performance. From (), the global fluctuatons, ΔI can be descrbed by the parameter set { VTH, W, T o, μeff }. In addton, ΔI due to the fluctuatons n the source-dran (S/D) mplant dose across wafers can be modeled by varatons n the S/D seres resstance, R. d d d 3 where V < V BS BS < V 75 NSTI-Nanotech 0, ISBN Vol., 0

3 Agan, the gate delay, τ pd C load, where C load s the load capactance. Therefore, for accurate smulaton of dgtal crcuts, across the chp fluctuatons n MOSFET gate capactance (C g ) along wth that n S/D juncton capactance (C J ) must be accurately modeled. The fluctuaton n C g s modeled by fluctuatons n the gate overlap capactance (C ov ) whereas, that n C J s modeled by the area as well as S/D sdewall capactances. For eample, n BSIM4 compact MOS model, the fluctuatons n the transent performance can be prmarly descrbed by the parameter set { } ov C J C,. Therefore, the set of major model parameters to account for the global varablty n MOSFET V, W, T, μ, C, C. devces s { } TH o eff 3 CORNER MODEL PARAMETERS 3. Local Component In secton., we have descrbed the randomly varable set of devce parameters causng msmatch between dentcally desgned transstors as { VT 0, W, μ eff, K}. The correspondng BSIM4 MOS model parameters are { VT 0, XW, X U 0, K} [0]; where, XW and XL are the channel wdth and length offset parameters due to maskng and photolthography, respectvely and account for the msmatch due to LER and LWR; whereas, U0 and K accounts for the fluctuatons n μ eff and N A wth V BS, respectvely. The msmatch, ΔP, msmatch s descrbed by standard normal dstrbutons, N ( 0, σ ) where the varance, σ for each varable s calculated from a large number of samples usng Pelgrom s law [] and s descrbed by σ ΔP AP / WL where A P s a process dependent constant. For eample, the varance n VT0 s gven by: A vt σ Δ VT 0 (3) WL Typcally, msmatch ΔVT0, ΔXW, ΔX ΔT o, ΔU0, and ΔK are represented by standard normal dstrbutons N(0,). Therefore, we can show: Δ P, msmatch = σ Δ N(0,) (4) P In (4), ΔP, msmatch represents fluctuatons n P due to local process varablty and s computed usng Monte Carlo (MC) smulaton for a large number of samples. 3. Global Component In secton., we have descrbed the crtcal set of devce parameters { V TH, XW, X T o, μ eff, C ov, C j } causng global process varablty. The correspondng set of BSIM4 model parameters s {VT0, XW, X TOX, U0, K, RW, CGSO, CGDO, CGS CGD CJS, CJD, CJSWS, CJSWD, ov j CJSWGS, CJSWGD}. Here, {CGSO, CGDO, CGS CGDL} defnes C ov ; {CJS, CJD} defnes S/D juncton area capactance; and {CJSWS, CJSWD, CJSWGS, CJSWGD} defnes S/D juncton sdewall capactance. For MC statstcal modelng, the global varance, ΔP, global s, also, descrbed by normal dstrbuton, N ( 0, σ). Typcally, σ 3 and s etracted from the statstcal dstrbutons of electrcal test (ET) data for P measured from multple des, wafers, and lots over a perod of tme [5]. The varance n model parameter P due to global process varablty can be modeled by: σ. N(0, 3); for MC corner model ΔP, global (5) σ ; for fed corner model In (5), Δ represents the fluctuatons n P due to P, global global process varablty. Thus, the model parameter P due to both local and global process varablty s gven by: = P + ΔP + P (6) P 0, msmatch Δ, global where, P 0 s the value of the nomnal model parameter and s etracted from the golden de of the golden wafer that represents the target devce specfcatons of the target technology. 3.3 Corner Parameter Etracton The local and global components of ΔP gven by (4) and (5), respectvely are etracted from ET data as shown n Fg.. Target VLSI Technology I V / C V Model Etractons I V / C V / ET Data Collecton (multple de, wafers, lots) Statstcal Dstrbutons and Computaton of ΔP, A, σ Generate Fnal Model Map ET data SPICE Parameter Smulaton Fg.. Basc approach for statstcal compact modelng. The database ncludes electrcal devce characterstcs and producton ET data. (The bell-shaped curve represents a typcal ET data dstrbuton). In the basc modelng approach, ET data are collected from multple devces, wafers, and lots over a long perod of tme. I V and C V characterstcs can be used to NSTI-Nanotech 0, ISBN Vol., 0 753

4 etract corner model parameters. However, ths approach s tme-consumng and therefore, ET data are, typcally, used to generate statstcal models. In the case of a new technology generaton, the producton data for statstcal modelng s lmted; therefore, systematc technology CAD (TCAD)-based process varablty data can be generated for statstcal corner modelng [] [5]. 4 MODEL GENERATION 4. MC Statstcal Model Equaton (6) s used to generate the corner models of the target technology. For MC statstcal corner modelng, normal dstrbuton s used to determne the value of σ for each randomly varyng parameter descrbed n secton 3. From the statstcal dstrbuton of ET data, 3 σ value s used to account for across the chp varatons; whereas, σ value s used for msmatch modelng. Fnally, (6) s mplemented to generate corner models to smulate process varablty of the target CMOS technology. Fg. 3 shows the random dstrbutons of NMOS and PMOS VT0 and I AT data obtaned around ther respectve typcal (TT) values as obtaned by MC statstcal model. (a) 4. Fed Corner wth Msmatch Model The MC smulaton usng both local and global random varables, descrbed n secton 3, s computatonally ntensve for advanced VLSI crcut smulaton. Therefore, fed corner models along wth MC msmatch model can be effcently used to analyze the advanced VLSI crcuts. MC msmatch addresses the stochastc varatons between pared-transstors; whereas, fed corner model wth approprate value of σ can be used to address across the chp varatons at the lower and upper boundares [5]. Equaton (6) s used to generate the fed corner parameters of the target technology. A fed value of 3 σ 6 s used to account for global process varablty. The MC msmatch s performed at the upper and boundares of fed corner model [5] as shown n Fg CONCLUSION Process varablty n advanced CMOS technologes mposes a serous challenge to advanced VLSI crcut desgn. Therefore, statstcal corner models are etremely mportant for realstcally assessng how process varablty mpacts crcut performance. In ths work, we have generated statstcal models and used them n rudmentary dgtal-crcut analyss to nvestgate delay varaton n response to process varablty. The smulaton data shows that ths statstcal-modelng approach enables realstc predcton of the standard devatons of crcut performance and allows for trackng crcut performance due to process varablty by montorng ET data. (b) Fg. 3. Producton data dstrbuton, along wth smulaton data generated from the correspondng statstcal MOSFET models: (a) V TNLIN vs. V TPLIN and (b) I DNSAT vs. I DPSAT. The models approprately nclude the spread n electrcal test ET data due to process varablty; TT represents typcal values of NMOS and PMOS model parameters. σ Msmatch σ Msmatch SS TT FF Fg. 4. Msmatch smulaton around SS and FF corners to account for random process varablty usng fed corner models; SS denotes slow NMOS and slow PMOS devces; FF represents fast NMOS and fast PMOS devces. 754 NSTI-Nanotech 0, ISBN Vol., 0

5 REFERENCES. S. Saha, Desgn consderatons for 5 nm MOSFET devces, Sold-State Electron., vol. 45, no. 0, pp , Oct S. Saha, Scalng consderatons for hgh performance 5 nm metal-ode-semconductor feld-effect transstors, J. Vac. Sc. Tech. B, vol. 9, no. 6, pp , Nov K. Bernsten et al., "Hgh-performance CMOS varablty n the 65-nm regme and beyond," IBM J. Res & Dev. vol. 50, no. 4/5, pp , K. Kuhn et al., Managng vrocess varaton n ntel s 45nm CMOS technology, Intel Technology J., vol., no., pp. 9-0, S.K. Saha, Modelng process varablty n scaled CMOS technology, IEEE Desgn & Test of Computers, vol. 7, no., pp. 8-6, Mar./Apr S.K. Sprnger et al., Modelng of varaton n submcrometer CMOS ULSI technologes, IEEE Trans. Electron Devces, vol. 53, no. 9, pp , Sep J.A. Croon, W. Sansen, and H.E. Maes, Matchng propertes of deep sub-mcron MOS transstors, Sprnger: The Netherlands, N.D. Arora, MOSFET models for VLSI crcut smulaton: Theory and practce, Sprnger Verlag: Wen, S. Saha, Effects of nverson layer quantzaton on channel profle engneerng for nmosfets wth 0. μm channel lengths, Sold-State Electron., vol. 4, no., pp , Nov t.html.. M.J.M. Pelgrom, A.C.J. Dunmajer, and A.P.G. Welbers, Matchng propertes of MOS transstors, IEEE J. Sold-State Crcuts, vol. 4, pp , Oct S.K. Saha, Managng technology CAD for compettve advantage: An effcent approach for ntegrated crcut fabrcaton technology development, IEEE Trans. Eng. Manage., vol. 46, no., pp. -9, May S.K. Saha, Modellng the effectveness of computeraded development projects n the semconductor ndustry, Int. J. Engneerng Management and Economcs, vol., no. /3, pp S. Saha, Etracton of substrate current model parameters from devce smulaton, Sold State Electroncs, vol. 37, no. 0, pp , Oct S. Saha, C.S. Yeh, and B. Gadepally, Impact onzaton rate of electrons for accurate smulaton of substrate current n submcron devces, Sold-State Electron., vol. 36, no. 0, pp , Oct NSTI-Nanotech 0, ISBN Vol., 0 755

Yield Optimisation of Power-On Reset Cells and Functional Verification

Yield Optimisation of Power-On Reset Cells and Functional Verification Yeld Optmsaton of Power-On Reset Cells and Functonal Verfcaton Dpl.-Ing. Olver Esenberger, Dpl.-Ing. Dr. Gerhard Rapptsch, Dpl.-Ing. Stefan Schneder Dpl.-Ing. Dr. Bernd Obermeer*, Dpl.-Ing. Dpl.-Wrtsch.-Ing.

More information

IEE Electronics Letters, vol 34, no 17, August 1998, pp ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES

IEE Electronics Letters, vol 34, no 17, August 1998, pp ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES IEE Electroncs Letters, vol 34, no 17, August 1998, pp. 1622-1624. ESTIMATING STARTING POINT OF CONDUCTION OF CMOS GATES A. Chatzgeorgou, S. Nkolads 1 and I. Tsoukalas Computer Scence Department, 1 Department

More information

FAST ELECTRON IRRADIATION EFFECTS ON MOS TRANSISTOR MICROSCOPIC PARAMETERS EXPERIMENTAL DATA AND THEORETICAL MODELS

FAST ELECTRON IRRADIATION EFFECTS ON MOS TRANSISTOR MICROSCOPIC PARAMETERS EXPERIMENTAL DATA AND THEORETICAL MODELS Journal of Optoelectroncs and Advanced Materals Vol. 7, No., June 5, p. 69-64 FAST ELECTRON IRRAIATION EFFECTS ON MOS TRANSISTOR MICROSCOPIC PARAMETERS EXPERIMENTAL ATA AN THEORETICAL MOELS G. Stoenescu,

More information

High Speed ADC Sampling Transients

High Speed ADC Sampling Transients Hgh Speed ADC Samplng Transents Doug Stuetzle Hgh speed analog to dgtal converters (ADCs) are, at the analog sgnal nterface, track and hold devces. As such, they nclude samplng capactors and samplng swtches.

More information

MTBF PREDICTION REPORT

MTBF PREDICTION REPORT MTBF PREDICTION REPORT PRODUCT NAME: BLE112-A-V2 Issued date: 01-23-2015 Rev:1.0 Copyrght@2015 Bluegga Technologes. All rghts reserved. 1 MTBF PREDICTION REPORT... 1 PRODUCT NAME: BLE112-A-V2... 1 1.0

More information

To: Professor Avitabile Date: February 4, 2003 From: Mechanical Student Subject: Experiment #1 Numerical Methods Using Excel

To: Professor Avitabile Date: February 4, 2003 From: Mechanical Student Subject: Experiment #1 Numerical Methods Using Excel To: Professor Avtable Date: February 4, 3 From: Mechancal Student Subject:.3 Experment # Numercal Methods Usng Excel Introducton Mcrosoft Excel s a spreadsheet program that can be used for data analyss,

More information

ECE315 / ECE515 Lecture 5 Date:

ECE315 / ECE515 Lecture 5 Date: Lecture 5 Date: 18.08.2016 Common Source Amplfer MOSFET Amplfer Dstorton Example 1 One Realstc CS Amplfer Crcut: C c1 : Couplng Capactor serves as perfect short crcut at all sgnal frequences whle blockng

More information

Approximating User Distributions in WCDMA Networks Using 2-D Gaussian

Approximating User Distributions in WCDMA Networks Using 2-D Gaussian CCCT 05: INTERNATIONAL CONFERENCE ON COMPUTING, COMMUNICATIONS, AND CONTROL TECHNOLOGIES 1 Approxmatng User Dstrbutons n CDMA Networks Usng 2-D Gaussan Son NGUYEN and Robert AKL Department of Computer

More information

ANALYTICAL AND NUMERICAL MODELING OF V TH AND S FOR NEW CG MOSFET STRUCTURE

ANALYTICAL AND NUMERICAL MODELING OF V TH AND S FOR NEW CG MOSFET STRUCTURE Internatonal Journal of Informaton Scences and Technques (IJIST) Vol.6, No.3/4/5/6, November 6 ANALYTICAL AND NUMERICAL MODELING OF V TH AND S FOR NEW CG MOSFET STRUCTURE ABSTRACT H. Jaafar*, A. Aouaj*,

More information

MOSFET Physical Operation

MOSFET Physical Operation March, 007 MOSFET Physcal Operaton Some fgures of ths presentaton were taken from the nstructonal resources of the followng textbooks: B. Razav, Desgn of Analog CMOS Integrated Crcuts. New York, NY: McGraw

More information

Harmonic Balance of Nonlinear RF Circuits

Harmonic Balance of Nonlinear RF Circuits MICROWAE AND RF DESIGN Harmonc Balance of Nonlnear RF Crcuts Presented by Mchael Steer Readng: Chapter 19, Secton 19. Index: HB Based on materal n Mcrowave and RF Desgn: A Systems Approach, nd Edton, by

More information

Uncertainty in measurements of power and energy on power networks

Uncertainty in measurements of power and energy on power networks Uncertanty n measurements of power and energy on power networks E. Manov, N. Kolev Department of Measurement and Instrumentaton, Techncal Unversty Sofa, bul. Klment Ohrdsk No8, bl., 000 Sofa, Bulgara Tel./fax:

More information

HIGH PERFORMANCE ADDER USING VARIABLE THRESHOLD MOSFET IN 45NM TECHNOLOGY

HIGH PERFORMANCE ADDER USING VARIABLE THRESHOLD MOSFET IN 45NM TECHNOLOGY Internatonal Journal of Electrcal, Electroncs and Computer Systems, (IJEECS) HIGH PERFORMANCE ADDER USING VARIABLE THRESHOLD MOSFET IN 45NM TECHNOLOGY 1 Supryo Srman, 2 Dptendu Ku. Kundu, 3 Saradndu Panda,

More information

TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS TN TERMINATON FOR POINT-TO-POINT SYSTEMS. Zo = L C. ω - angular frequency = 2πf

TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS TN TERMINATON FOR POINT-TO-POINT SYSTEMS. Zo = L C. ω - angular frequency = 2πf TECHNICAL NOTE TERMINATION FOR POINT- TO-POINT SYSTEMS INTRODUCTION Because dgtal sgnal rates n computng systems are ncreasng at an astonshng rate, sgnal ntegrty ssues have become far more mportant to

More information

Impact of Interference Model on Capacity in CDMA Cellular Networks. Robert Akl, D.Sc. Asad Parvez University of North Texas

Impact of Interference Model on Capacity in CDMA Cellular Networks. Robert Akl, D.Sc. Asad Parvez University of North Texas Impact of Interference Model on Capacty n CDMA Cellular Networks Robert Akl, D.Sc. Asad Parvez Unversty of North Texas Outlne Introducton to CDMA networks Average nterference model Actual nterference model

More information

Calculation of the received voltage due to the radiation from multiple co-frequency sources

Calculation of the received voltage due to the radiation from multiple co-frequency sources Rec. ITU-R SM.1271-0 1 RECOMMENDATION ITU-R SM.1271-0 * EFFICIENT SPECTRUM UTILIZATION USING PROBABILISTIC METHODS Rec. ITU-R SM.1271 (1997) The ITU Radocommuncaton Assembly, consderng a) that communcatons

More information

SRAM Leakage Suppression by Minimizing Standby Supply Voltage

SRAM Leakage Suppression by Minimizing Standby Supply Voltage SRAM Leakage Suppresson by Mnmzng Standby Supply Voltage Hufang Qn, Yu ao, Dejan Markovc, Andre Vladmrescu, and Jan Rabaey Department of EES, Unversty of alforna at Berkeley, Berkeley, A 947, USA Abstract

More information

Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation

Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation Total Power Mnmzaton n Gltch-Free CMOS Crcuts Consderng Process Varaton Abstract Compared to subthreshold age, dynamc power s normally much less senstve to the process varaton due to ts approxmately lnear

More information

NOVEL BAND-REJECT FILTER DESIGN USING MULTILAYER BRAGG MIRROR AT 1550 NM

NOVEL BAND-REJECT FILTER DESIGN USING MULTILAYER BRAGG MIRROR AT 1550 NM NOVEL BAND-REJECT FILTER DESIGN USING MULTILAYER BRAGG MIRROR AT 1550 NM Krshanu Nandy 1, Suhrd Bswas 2, Rahul Bhattacharyya 3, Soumendra Nath Saha 4, Arpan Deyas 5 1,2,3,4,5 Department of Electroncs of

More information

Control of Chaos in Positive Output Luo Converter by means of Time Delay Feedback

Control of Chaos in Positive Output Luo Converter by means of Time Delay Feedback Control of Chaos n Postve Output Luo Converter by means of Tme Delay Feedback Nagulapat nkran.ped@gmal.com Abstract Faster development n Dc to Dc converter technques are undergong very drastc changes due

More information

A High-Sensitivity Oversampling Digital Signal Detection Technique for CMOS Image Sensors Using Non-destructive Intermediate High-Speed Readout Mode

A High-Sensitivity Oversampling Digital Signal Detection Technique for CMOS Image Sensors Using Non-destructive Intermediate High-Speed Readout Mode A Hgh-Senstvty Oversamplng Dgtal Sgnal Detecton Technque for CMOS Image Sensors Usng Non-destructve Intermedate Hgh-Speed Readout Mode Shoj Kawahto*, Nobuhro Kawa** and Yoshak Tadokoro** *Research Insttute

More information

Keywords: Speed binning, delay measurement hardware, process variation.

Keywords: Speed binning, delay measurement hardware, process variation. A Novel On-chp Measurement Hardware for Effcent Speed-Bnnng A. Raychowdhury, S. Ghosh, and K. Roy Department of ECE, Purdue Unversty, IN {araycho, ghosh3, kaushk}@ecn.purdue.edu Abstract Wth the aggressve

More information

Sensors for Motion and Position Measurement

Sensors for Motion and Position Measurement Sensors for Moton and Poston Measurement Introducton An ntegrated manufacturng envronment conssts of 5 elements:- - Machne tools - Inspecton devces - Materal handlng devces - Packagng machnes - Area where

More information

N- and P-Channel 2.5-V (G-S) MOSFET

N- and P-Channel 2.5-V (G-S) MOSFET S456DY N- and P-Channel.5-V (G-S) MOSFET PRODUCT SUMMARY V DS (V) R DS(on) (Ω) (A).5 at 7. N-Channel.35 at V GS =.5 V 6. FEATURES Halogen-free Accordng to IEC 649-- Defnton TrenchFET Power MOSFET:.5 Rated

More information

Latency Insertion Method (LIM) for IR Drop Analysis in Power Grid

Latency Insertion Method (LIM) for IR Drop Analysis in Power Grid Abstract Latency Inserton Method (LIM) for IR Drop Analyss n Power Grd Dmtr Klokotov, and José Schutt-Ané Wth the steadly growng number of transstors on a chp, and constantly tghtenng voltage budgets,

More information

Equivalent Circuit Model of Electromagnetic Behaviour of Wire Objects by the Matrix Pencil Method

Equivalent Circuit Model of Electromagnetic Behaviour of Wire Objects by the Matrix Pencil Method ERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 5, No., May 008, -0 Equvalent Crcut Model of Electromagnetc Behavour of Wre Objects by the Matrx Pencl Method Vesna Arnautovsk-Toseva, Khall El Khamlch Drss,

More information

RECOMMENDATION ITU-R P Multipath propagation and parameterization of its characteristics

RECOMMENDATION ITU-R P Multipath propagation and parameterization of its characteristics Rec. ITU-R P.47-3 RECOMMEDATIO ITU-R P.47-3 Multpath propagaton and parameterzaton of ts characterstcs (Queston ITU-R 3/3) (999-3-5-7) Scope Recommendaton ITU-R P.47 descrbes the nature of multpath propagaton

More information

Low Switching Frequency Active Harmonic Elimination in Multilevel Converters with Unequal DC Voltages

Low Switching Frequency Active Harmonic Elimination in Multilevel Converters with Unequal DC Voltages Low Swtchng Frequency Actve Harmonc Elmnaton n Multlevel Converters wth Unequal DC Voltages Zhong Du,, Leon M. Tolbert, John N. Chasson, Hu L The Unversty of Tennessee Electrcal and Computer Engneerng

More information

antenna antenna (4.139)

antenna antenna (4.139) .6.6 The Lmts of Usable Input Levels for LNAs The sgnal voltage level delvered to the nput of an LNA from the antenna may vary n a very wde nterval, from very weak sgnals comparable to the nose level,

More information

Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation

Total Power Minimization in Glitch-Free CMOS Circuits Considering Process Variation 21st Internatonal Conference on VLSI Desgn Total Power Mnmzaton n Gltch-Free CMOS Crcuts Consderng Process Varaton Yuanln Lu * Intel Corporaton Folsom, CA 95630, USA yuanln.lu@ntel.com Abstract Compared

More information

Lecture 10: Bipolar Junction Transistor Construction. NPN Physical Operation.

Lecture 10: Bipolar Junction Transistor Construction. NPN Physical Operation. Whtes, EE 320 Lecture 10 Page 1 of 9 Lecture 10: Bpolar Juncton Transstor Constructon. NPN Physcal Operaton. For the remander of ths semester we wll be studyng transstors and transstor crcuts. The transstor

More information

FEATURE SELECTION FOR SMALL-SIGNAL STABILITY ASSESSMENT

FEATURE SELECTION FOR SMALL-SIGNAL STABILITY ASSESSMENT FEAURE SELECION FOR SMALL-SIGNAL SABILIY ASSESSMEN S.P. eeuwsen Unversty of Dusburg teeuwsen@un-dusburg.de Abstract INRODUCION hs paper ntroduces dfferent feature selecton technques for neural network

More information

Figure.1. Basic model of an impedance source converter JCHPS Special Issue 12: August Page 13

Figure.1. Basic model of an impedance source converter JCHPS Special Issue 12: August Page 13 A Hgh Gan DC - DC Converter wth Soft Swtchng and Power actor Correcton for Renewable Energy Applcaton T. Selvakumaran* and. Svachdambaranathan Department of EEE, Sathyabama Unversty, Chenna, Inda. *Correspondng

More information

Webinar Series TMIP VISION

Webinar Series TMIP VISION Webnar Seres TMIP VISION TMIP provdes techncal support and promotes knowledge and nformaton exchange n the transportaton plannng and modelng communty. DISCLAIMER The vews and opnons expressed durng ths

More information

High Speed, Low Power And Area Efficient Carry-Select Adder

High Speed, Low Power And Area Efficient Carry-Select Adder Internatonal Journal of Scence, Engneerng and Technology Research (IJSETR), Volume 5, Issue 3, March 2016 Hgh Speed, Low Power And Area Effcent Carry-Select Adder Nelant Harsh M.tech.VLSI Desgn Electroncs

More information

Research of Dispatching Method in Elevator Group Control System Based on Fuzzy Neural Network. Yufeng Dai a, Yun Du b

Research of Dispatching Method in Elevator Group Control System Based on Fuzzy Neural Network. Yufeng Dai a, Yun Du b 2nd Internatonal Conference on Computer Engneerng, Informaton Scence & Applcaton Technology (ICCIA 207) Research of Dspatchng Method n Elevator Group Control System Based on Fuzzy Neural Network Yufeng

More information

Dynamic Optimization. Assignment 1. Sasanka Nagavalli January 29, 2013 Robotics Institute Carnegie Mellon University

Dynamic Optimization. Assignment 1. Sasanka Nagavalli January 29, 2013 Robotics Institute Carnegie Mellon University Dynamc Optmzaton Assgnment 1 Sasanka Nagavall snagaval@andrew.cmu.edu 16-745 January 29, 213 Robotcs Insttute Carnege Mellon Unversty Table of Contents 1. Problem and Approach... 1 2. Optmzaton wthout

More information

Simulation Methodology for Analysis of Substrate Noise Impact on Analog / RF Circuits Including Interconnect Resistance

Simulation Methodology for Analysis of Substrate Noise Impact on Analog / RF Circuits Including Interconnect Resistance Smulaton Methodology for Analyss of Substrate Nose Impact on Analog / RF Crcuts Includng Interconnect Resstance C. Soens (1,2), G. Van der Plas (1), P. Wambacq (1,3), S. Donnay (1) (1) IMEC (2) also Ph.D.

More information

Fault resistance sensitivity of sparse measurement based transmission line fault location

Fault resistance sensitivity of sparse measurement based transmission line fault location ault resstance senstvty of sparse measurement based transmsson lne fault locaton Papya Dutta Dept. of Electrcal and Computer Engneerng Texas A & M Unversty College Staton, TX, USA papya8@tamu.edu Abstract

More information

Microelectronic Circuits

Microelectronic Circuits Mcroelectronc Crcuts Slde 1 Introducton Suggested textbook: 1. Adel S. Sedra and Kenneth C. Smth, Mcroelectronc Crcuts Theory and Applcatons, Sxth edton Internatonal Verson, Oxford Unersty Press, 2013.

More information

Vectorless Analysis of Supply Noise Induced Delay Variation

Vectorless Analysis of Supply Noise Induced Delay Variation Vectorless Analyss of Supply Nose Induced Delay Varaton Sanjay Pant *, Davd Blaauw *, Vladmr Zolotov **, Savthr Sundareswaran **, Rajendran Panda ** {spant,blaauw}@umch.edu, {vladmr.zolotov,savthr.sundareswaran,rajendran.panda}@motorola.com

More information

CMOS Implementation of Lossy Integrator using Current Mirrors Rishu Jain 1, Manveen Singh Chadha 2 1, 2

CMOS Implementation of Lossy Integrator using Current Mirrors Rishu Jain 1, Manveen Singh Chadha 2 1, 2 Proceedngs of Natonal Conference on Recent Advances n Electroncs and Communcaton Engneerng CMOS Implementaton of Lossy Integrator usng Current Mrrors Rshu Jan, Manveen Sngh Chadha 2, 2 Department of Electroncs

More information

Modeling Power Angle Spectrum and Antenna Pattern Directions in Multipath Propagation Environment

Modeling Power Angle Spectrum and Antenna Pattern Directions in Multipath Propagation Environment Modelng ower Angle Spectrum and Antenna attern Drectons n Multpath ropagaton Envronment Jan M Kelner and Cezary Zółkowsk Insttute of elecommuncatons, Faculty of Electroncs, Mltary Unversty of echnology,

More information

A study of turbo codes for multilevel modulations in Gaussian and mobile channels

A study of turbo codes for multilevel modulations in Gaussian and mobile channels A study of turbo codes for multlevel modulatons n Gaussan and moble channels Lamne Sylla and Paul Forter (sylla, forter)@gel.ulaval.ca Department of Electrcal and Computer Engneerng Laval Unversty, Ste-Foy,

More information

In modern wireless RF and microwave communications

In modern wireless RF and microwave communications Frequency Propertes of a Reverse Based Thc Swtchng PIN Dode A revew of PIN dode behavor n a large-sgnal envronment By Loudmla Drozdovsaa llanova Unversty In modern wreless RF and mcrowave communcatons

More information

A NOVEL HIGH STEP-UP CONVERTER BASED ON THREE WINDING COUPLED INDUCTOR FOR FUEL CELL ENERGY SOURCE APPLICATIONS

A NOVEL HIGH STEP-UP CONVERTER BASED ON THREE WINDING COUPLED INDUCTOR FOR FUEL CELL ENERGY SOURCE APPLICATIONS A NOVEL HIGH STEPUP CONVERTER BASED ON THREE WINDING COUPLED INDUCTOR FOR FUEL CELL ENERGY SOURCE APPLICATIONS Thura Chatanya 1, V.Satyanarayana 2 1 EEE Branch, Vaagdev College of Engneerng, Bollkunta,

More information

NATIONAL RADIO ASTRONOMY OBSERVATORY Green Bank, West Virginia SPECTRAL PROCESSOR MEMO NO. 25. MEMORANDUM February 13, 1985

NATIONAL RADIO ASTRONOMY OBSERVATORY Green Bank, West Virginia SPECTRAL PROCESSOR MEMO NO. 25. MEMORANDUM February 13, 1985 NATONAL RADO ASTRONOMY OBSERVATORY Green Bank, West Vrgna SPECTRAL PROCESSOR MEMO NO. 25 MEMORANDUM February 13, 1985 To: Spectral Processor Group From: R. Fsher Subj: Some Experments wth an nteger FFT

More information

3D Thermal Analysis of Li-ion Battery Cells with Various Geometries and Cooling Conditions Using Abaqus

3D Thermal Analysis of Li-ion Battery Cells with Various Geometries and Cooling Conditions Using Abaqus 3D Thermal Analyss of L-on Battery Cells wth Varous Geometres and Coolng Condtons Usng Abaqus Km Yeow, Ho Teng, Marna Thellez, Eugene Tan AVL Powertran Engneerng Abstract: Modelng thermal behavor of L-on

More information

Typical NMOS Modeling Using a Skewing Method

Typical NMOS Modeling Using a Skewing Method The 4th International Conference on Integrated Circuits, Design, and Verification Mo Chi Minh City, Vietnam (Nov. 15, 2013) Typical NMOS Modeling Using a Skewing Method - An NMOS Modeling Method for RF

More information

Evaluate the Effective of Annular Aperture on the OTF for Fractal Optical Modulator

Evaluate the Effective of Annular Aperture on the OTF for Fractal Optical Modulator Global Advanced Research Journal of Management and Busness Studes (ISSN: 2315-5086) Vol. 4(3) pp. 082-086, March, 2015 Avalable onlne http://garj.org/garjmbs/ndex.htm Copyrght 2015 Global Advanced Research

More information

The Effect Of Phase-Shifting Transformer On Total Consumers Payments

The Effect Of Phase-Shifting Transformer On Total Consumers Payments Australan Journal of Basc and Appled Scences 5(: 854-85 0 ISSN -88 The Effect Of Phase-Shftng Transformer On Total Consumers Payments R. Jahan Mostafa Nck 3 H. Chahkand Nejad Islamc Azad Unversty Brjand

More information

Active and Reactive Power Control of DFIG for Wind Energy Conversion Using Back to Back Converters (PWM Technique)

Active and Reactive Power Control of DFIG for Wind Energy Conversion Using Back to Back Converters (PWM Technique) World Essays Journal / 4 (1): 45-50, 2016 2016 Avalable onlne at www. worldessaysj.com Actve and Reactve Power Control of DFIG for Wnd Energy Converson Usng Back to Back Converters (PWM Technque) Mojtaba

More information

CHAPTER 29 AN EXAMPLE OF THE MONTECARLO SIMULATIONS: THE LANGEVIN DYNAMICS

CHAPTER 29 AN EXAMPLE OF THE MONTECARLO SIMULATIONS: THE LANGEVIN DYNAMICS CHAPTER 9 AN EXAMPLE OF THE MONTECARLO SIMULATIONS: THE LANGEVIN DYNAMICS Objectves After completng the readng of ths chapter, you wll be able to: Construct a polymer chan. Devse a smple MC smulaton program

More information

Chap. 9 Photomultiplier Devices

Chap. 9 Photomultiplier Devices Chap. 9 Photomultpler Devces The scntllaton process produces photons n proporton to the prmary onzaton (or n some cases, the range) we need to count the number of photons to obtan the energy deposted by

More information

Application of Intelligent Voltage Control System to Korean Power Systems

Application of Intelligent Voltage Control System to Korean Power Systems Applcaton of Intellgent Voltage Control System to Korean Power Systems WonKun Yu a,1 and HeungJae Lee b, *,2 a Department of Power System, Seol Unversty, South Korea. b Department of Power System, Kwangwoon

More information

29. Network Functions for Circuits Containing Op Amps

29. Network Functions for Circuits Containing Op Amps 9. Network Functons for Crcuts Contanng Op Amps Introducton Each of the crcuts n ths problem set contans at least one op amp. Also each crcut s represented by a gven network functon. These problems can

More information

Optimal Sizing and Allocation of Residential Photovoltaic Panels in a Distribution Network for Ancillary Services Application

Optimal Sizing and Allocation of Residential Photovoltaic Panels in a Distribution Network for Ancillary Services Application Optmal Szng and Allocaton of Resdental Photovoltac Panels n a Dstrbuton Networ for Ancllary Servces Applcaton Reza Ahmad Kordhel, Student Member, IEEE, S. Al Pourmousav, Student Member, IEEE, Jayarshnan

More information

Dynamic Power Consumption in Virtex -II FPGA Family

Dynamic Power Consumption in Virtex -II FPGA Family Dynamc Power Consumpton n Vrtex -II FPGA Famly L Shang Prnceton Unversty EE Dept., Prnceton, NJ 08540 lshang@ee.prnceton.edu Alreza S Kavan Xlnx Inc. 2100 Logc Dr., San Jose, CA 95124 alreza.kavan@xlnx.com

More information

Comparative Analysis of Reuse 1 and 3 in Cellular Network Based On SIR Distribution and Rate

Comparative Analysis of Reuse 1 and 3 in Cellular Network Based On SIR Distribution and Rate Comparatve Analyss of Reuse and 3 n ular Network Based On IR Dstrbuton and Rate Chandra Thapa M.Tech. II, DEC V College of Engneerng & Technology R.V.. Nagar, Chttoor-5727, A.P. Inda Emal: chandra2thapa@gmal.com

More information

INSTANTANEOUS TORQUE CONTROL OF MICROSTEPPING BIPOLAR PWM DRIVE OF TWO-PHASE STEPPING MOTOR

INSTANTANEOUS TORQUE CONTROL OF MICROSTEPPING BIPOLAR PWM DRIVE OF TWO-PHASE STEPPING MOTOR The 5 th PSU-UNS Internatonal Conference on Engneerng and 537 Technology (ICET-211), Phuket, May 2-3, 211 Prnce of Songkla Unversty, Faculty of Engneerng Hat Ya, Songkhla, Thaland 9112 INSTANTANEOUS TORQUE

More information

Figure 1. DC-DC Boost Converter

Figure 1. DC-DC Boost Converter EE46, Power Electroncs, DC-DC Boost Converter Verson Oct. 3, 11 Overvew Boost converters make t possble to effcently convert a DC voltage from a lower level to a hgher level. Theory of Operaton Relaton

More information

MASTER TIMING AND TOF MODULE-

MASTER TIMING AND TOF MODULE- MASTER TMNG AND TOF MODULE- G. Mazaher Stanford Lnear Accelerator Center, Stanford Unversty, Stanford, CA 9409 USA SLAC-PUB-66 November 99 (/E) Abstract n conjuncton wth the development of a Beam Sze Montor

More information

Comparison of V I c control with Voltage Mode and Current Mode controls for high frequency (MHz) and very fast response applications

Comparison of V I c control with Voltage Mode and Current Mode controls for high frequency (MHz) and very fast response applications Comparson of V I c control wth Voltage Mode and Current Mode controls for hgh frequency (MHz) and very fast response applcatons P. Alou, J. A. Olver, V. Svkovc, 0. Garca and J. A. Cobos Abstract Hgh swtchng

More information

Optimization of transformer loading based on hot-spot temperature using a predictive health model

Optimization of transformer loading based on hot-spot temperature using a predictive health model Delft Unversty of Technology Delft Center for Systems and Control Techncal report 10-037 Optmzaton of transformer ng based on hot-spot temperature usng a predctve health model G. Bajracharya, T. Koltunowcz,

More information

GP-based Design and Optimization of a Floating Voltage Source for Low-Power and Highly Tunable OTA Applications

GP-based Design and Optimization of a Floating Voltage Source for Low-Power and Highly Tunable OTA Applications Manuscrpt receved Jun. 11, 2007; revsed Sep. 15, 2007 GP-based Desgn and Optmzaton of a Floatng Voltage Source for Low-Power and Hghly Tunable OTA Applcatons MARYAM SHOJAEI BAGHINI *, RAJENDRA KANPHADE

More information

Chaotic Filter Bank for Computer Cryptography

Chaotic Filter Bank for Computer Cryptography Chaotc Flter Bank for Computer Cryptography Bngo Wng-uen Lng Telephone: 44 () 784894 Fax: 44 () 784893 Emal: HTwng-kuen.lng@kcl.ac.ukTH Department of Electronc Engneerng, Dvson of Engneerng, ng s College

More information

Sizing and Placement of Charge Recycling Transistors in MTCMOS Circuits

Sizing and Placement of Charge Recycling Transistors in MTCMOS Circuits Szng and Placement of Charge Recyclng Transstors n TCOS Crcuts Ehsan Pakbazna Dep. of Electrcal Engneerng Unversty of Southern Calforna Los Angeles, U.S.A. pakbazn@usc.edu Farzan Fallah Fujtsu Labs of

More information

Performance Analysis of Multi User MIMO System with Block-Diagonalization Precoding Scheme

Performance Analysis of Multi User MIMO System with Block-Diagonalization Precoding Scheme Performance Analyss of Mult User MIMO System wth Block-Dagonalzaton Precodng Scheme Yoon Hyun m and Jn Young m, wanwoon Unversty, Department of Electroncs Convergence Engneerng, Wolgye-Dong, Nowon-Gu,

More information

Efficient Large Integers Arithmetic by Adopting Squaring and Complement Recoding Techniques

Efficient Large Integers Arithmetic by Adopting Squaring and Complement Recoding Techniques The th Worshop on Combnatoral Mathematcs and Computaton Theory Effcent Large Integers Arthmetc by Adoptng Squarng and Complement Recodng Technques Cha-Long Wu*, Der-Chyuan Lou, and Te-Jen Chang *Department

More information

Modeling and Simulation of RF MEMS devices

Modeling and Simulation of RF MEMS devices Modelng and Smulaton of RF MEMS devces RAJESH S. PANDE, RAJENDRA M. PATRIKAR Department of Electroncs and Computer Scence Vsvesvaraya Natonal Insttute of Technology NAGPUR INDIA panderaj@yahoo.com, Rajendra@computer.org

More information

Block-wise Extraction of Rent s Exponents for an Extensible Processor

Block-wise Extraction of Rent s Exponents for an Extensible Processor Block-wse Extracton of Rent s Exponents for an Extensble Processor Tapan Ahonen, Tero Nurm, Jar Nurm, and Joun Isoaho Tampere Unversty of Technology, and Unversty of Turku, Fnland tapan.ahonen@tut.f, tnurm@utu.f,

More information

Modelling and performance analysis of dual-channel switched reluctance motor

Modelling and performance analysis of dual-channel switched reluctance motor RCHIVES OF ELECRICL ENGINEERING VOL. 64 pp. 89-05 05 DOI 0.55/aee-05-0009 Modellng and performance analyss of dual-channel swtched reluctance motor PIOR OGUSZ MRIUSZ KORKOSZ JN PROKOP Rzeszow Unversty

More information

Optimal Placement of PMU and RTU by Hybrid Genetic Algorithm and Simulated Annealing for Multiarea Power System State Estimation

Optimal Placement of PMU and RTU by Hybrid Genetic Algorithm and Simulated Annealing for Multiarea Power System State Estimation T. Kerdchuen and W. Ongsakul / GMSARN Internatonal Journal (09) - Optmal Placement of and by Hybrd Genetc Algorthm and Smulated Annealng for Multarea Power System State Estmaton Thawatch Kerdchuen and

More information

Transmitted field in the lossy ground from ground penetrating radar (GPR) dipole antenna

Transmitted field in the lossy ground from ground penetrating radar (GPR) dipole antenna Computatonal Methods and Expermental Measurements XVII 3 Transmtted feld n the lossy ground from ground penetratng radar (GPR) dpole antenna D. Poljak & V. Dorć Unversty of Splt, Croata Abstract The paper

More information

Process Variation Aware SRAM/Cache for Aggressive Voltage-Frequency Scaling

Process Variation Aware SRAM/Cache for Aggressive Voltage-Frequency Scaling Process Varaton Aware SRAM/Cache for Aggressve Voltage-requency Scalng Avesta Sasan ( Mohammad A Makhzan), Houman Homayoun, Ahmed Eltawl, ad Kurdah {mmakhzan,hhomayou,aeltawl,kurdah}@uc.edu Unversty of

More information

A MODIFIED DIFFERENTIAL EVOLUTION ALGORITHM IN SPARSE LINEAR ANTENNA ARRAY SYNTHESIS

A MODIFIED DIFFERENTIAL EVOLUTION ALGORITHM IN SPARSE LINEAR ANTENNA ARRAY SYNTHESIS A MODIFIED DIFFERENTIAL EVOLUTION ALORITHM IN SPARSE LINEAR ANTENNA ARRAY SYNTHESIS Kaml Dmller Department of Electrcal-Electroncs Engneerng rne Amercan Unversty North Cyprus, Mersn TURKEY kdmller@gau.edu.tr

More information

RC Filters TEP Related Topics Principle Equipment

RC Filters TEP Related Topics Principle Equipment RC Flters TEP Related Topcs Hgh-pass, low-pass, Wen-Robnson brdge, parallel-t flters, dfferentatng network, ntegratng network, step response, square wave, transfer functon. Prncple Resstor-Capactor (RC)

More information

Image analysis using modulated light sources Feng Xiao a*, Jeffrey M. DiCarlo b, Peter B. Catrysse b, Brian A. Wandell a

Image analysis using modulated light sources Feng Xiao a*, Jeffrey M. DiCarlo b, Peter B. Catrysse b, Brian A. Wandell a Image analyss usng modulated lght sources Feng Xao a*, Jeffrey M. DCarlo b, Peter B. Catrysse b, Bran A. Wandell a a Dept. of Psychology, Stanford Unversty, CA 9435, USA b Dept. of Electrcal Engneerng,

More information

Detection of short circuit in pulse gas metal arc welding process

Detection of short circuit in pulse gas metal arc welding process of Achevements n Materals and Manufacturng Engneerng VOLUME 4 ISSUE 1 September 007 Detecton of short crcut n pulse gas metal arc weldng process.k.d.v. Yarlagadda a, * co-operatng wth. raveen a, *, V.K.

More information

Discrete Time Sliding Mode Control of Magnetic Levitation System with Enhanced Exponential Reaching Law

Discrete Time Sliding Mode Control of Magnetic Levitation System with Enhanced Exponential Reaching Law Dscrete Tme Sldng Mode Control of Magnetc Levtaton System wth Enhanced Eponental Reachng Law Dnçer Maden, İrfan Yazıcı Duzce Unversty, Department of Electrcal & Electroncs Engneerng, 86 Konuralp, Düzce,

More information

Rejection of PSK Interference in DS-SS/PSK System Using Adaptive Transversal Filter with Conditional Response Recalculation

Rejection of PSK Interference in DS-SS/PSK System Using Adaptive Transversal Filter with Conditional Response Recalculation SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol., No., November 23, 3-9 Rejecton of PSK Interference n DS-SS/PSK System Usng Adaptve Transversal Flter wth Condtonal Response Recalculaton Zorca Nkolć, Bojan

More information

Field Tunnelling and Losses in Narrow Waveguide Channel

Field Tunnelling and Losses in Narrow Waveguide Channel Mkrotalasna revja Decembar 1. Feld Tunnellng and Losses n Narrow Wavegude Channel Mranda Mtrovć, Branka Jokanovć Abstract In ths paper we nvestgate the feld tunnellng through the narrow wavegude channel

More information

Ultra-Broadband AIGaN Amplifier Development Northrop Grumman Quarterly Program Status Report

Ultra-Broadband AIGaN Amplifier Development Northrop Grumman Quarterly Program Status Report Ultra-Broadband AIGaN Amplfer Development Northrop Grumman Quarterly Program Status Report Contract number: N00014-95-C-0171 Program: Ultra broadband AIGaN amplfer development Reportng Perod: November

More information

EE 330 Lecture 22. Small Signal Analysis Small Signal Analysis of BJT Amplifier

EE 330 Lecture 22. Small Signal Analysis Small Signal Analysis of BJT Amplifier EE Lecture Small Sgnal Analss Small Sgnal Analss o BJT Ampler Revew rom Last Lecture Comparson o Gans or MOSFET and BJT Crcuts N (t) A B BJT CC Q R EE OUT R CQ t DQ R = CQ R =, SS + T = -, t =5m R CQ A

More information

Reliability Assessment at Day-ahead Operating Stage in Power Systems with Wind Generation

Reliability Assessment at Day-ahead Operating Stage in Power Systems with Wind Generation 2013 46th Hawa Internatonal Conference on System Scences Relablty Assessment at Day-ahead Operatng Stage n Power Systems wth Wnd Generaton Le Xe, Member, IEEE, Ln Cheng, Member, IEEE, and Yngzhong Gu,

More information

4.3- Modeling the Diode Forward Characteristic

4.3- Modeling the Diode Forward Characteristic 2/8/2012 3_3 Modelng the ode Forward Characterstcs 1/3 4.3- Modelng the ode Forward Characterstc Readng Assgnment: pp. 179-188 How do we analyze crcuts wth juncton dodes? 2 ways: Exact Solutons ffcult!

More information

@IJMTER-2015, All rights Reserved 383

@IJMTER-2015, All rights Reserved 383 SIL of a Safety Fuzzy Logc Controller 1oo usng Fault Tree Analyss (FAT and realablty Block agram (RB r.-ing Mohammed Bsss 1, Fatma Ezzahra Nadr, Prof. Amam Benassa 3 1,,3 Faculty of Scence and Technology,

More information

A Current Differential Line Protection Using a Synchronous Reference Frame Approach

A Current Differential Line Protection Using a Synchronous Reference Frame Approach A Current Dfferental Lne rotecton Usng a Synchronous Reference Frame Approach L. Sousa Martns *, Carlos Fortunato *, and V.Fernão res * * Escola Sup. Tecnologa Setúbal / Inst. oltécnco Setúbal, Setúbal,

More information

DUE TO process scaling, the number of devices on a

DUE TO process scaling, the number of devices on a IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 1, NO. 11, NOVEMBER 011 1839 Latency Inserton Method (LIM) for DC Analyss of Power Supply Networks Dmtr Klokotov, Patrck Goh,

More information

Safety and resilience of Global Baltic Network of Critical Infrastructure Networks related to cascading effects

Safety and resilience of Global Baltic Network of Critical Infrastructure Networks related to cascading effects Blokus-Roszkowska Agneszka Dzula Przemysław Journal of Polsh afety and Relablty Assocaton ummer afety and Relablty emnars, Volume 9, Number, Kołowrock Krzysztof Gdyna Martme Unversty, Gdyna, Poland afety

More information

Scilab/Scicos Modeling, Simulation and PC Based Implementation of Closed Loop Speed Control of VSI Fed Induction Motor Drive

Scilab/Scicos Modeling, Simulation and PC Based Implementation of Closed Loop Speed Control of VSI Fed Induction Motor Drive 16th NATIONAL POWER SYSTEMS CONFERENCE, 15th-17th DECEMBER, 2010 453 Sclab/Sccos Modelng, Smulaton and PC Based Implementaton of Closed Loop Speed Control of VSI Fed Inducton Motor Dre Vjay Babu Korebona,

More information

Passive Filters. References: Barbow (pp ), Hayes & Horowitz (pp 32-60), Rizzoni (Chap. 6)

Passive Filters. References: Barbow (pp ), Hayes & Horowitz (pp 32-60), Rizzoni (Chap. 6) Passve Flters eferences: Barbow (pp 6575), Hayes & Horowtz (pp 360), zzon (Chap. 6) Frequencyselectve or flter crcuts pass to the output only those nput sgnals that are n a desred range of frequences (called

More information

Online Estimation of Oscillatory Stability Using a Measurement-based Approach

Online Estimation of Oscillatory Stability Using a Measurement-based Approach Onlne Estmaton of Oscllatory Stablty Usng a Measurement-based Approach Ce Zheng, Member, IEEE, Vuk Malbasa, Member, IEEE, and Mladen Kezunovc, Fellow, IEEE Dept. Electrcal and Computer Engneerng Texas

More information

Walsh Function Based Synthesis Method of PWM Pattern for Full-Bridge Inverter

Walsh Function Based Synthesis Method of PWM Pattern for Full-Bridge Inverter Walsh Functon Based Synthess Method of PWM Pattern for Full-Brdge Inverter Sej Kondo and Krt Choesa Nagaoka Unversty of Technology 63-, Kamtomoka-cho, Nagaoka 9-, JAPAN Fax: +8-58-7-95, Phone: +8-58-7-957

More information

MODEL ORDER REDUCTION AND CONTROLLER DESIGN OF DISCRETE SYSTEM EMPLOYING REAL CODED GENETIC ALGORITHM J. S. Yadav, N. P. Patidar, J.

MODEL ORDER REDUCTION AND CONTROLLER DESIGN OF DISCRETE SYSTEM EMPLOYING REAL CODED GENETIC ALGORITHM J. S. Yadav, N. P. Patidar, J. ABSTRACT Research Artcle MODEL ORDER REDUCTION AND CONTROLLER DESIGN OF DISCRETE SYSTEM EMPLOYING REAL CODED GENETIC ALGORITHM J. S. Yadav, N. P. Patdar, J. Sngha Address for Correspondence Maulana Azad

More information

Beam quality measurements with Shack-Hartmann wavefront sensor and M2-sensor: comparison of two methods

Beam quality measurements with Shack-Hartmann wavefront sensor and M2-sensor: comparison of two methods Beam qualty measurements wth Shack-Hartmann wavefront sensor and M-sensor: comparson of two methods J.V.Sheldakova, A.V.Kudryashov, V.Y.Zavalova, T.Y.Cherezova* Moscow State Open Unversty, Adaptve Optcs

More information

Numerical simulations for long range guided waves Nondestructive Testing by a wavelet based two port equivalent.

Numerical simulations for long range guided waves Nondestructive Testing by a wavelet based two port equivalent. Numercal smulatons for long range guded waves Nondestructve Testng by a wavelet based two port equvalent. F. BERTONCINI, A. MUSOLINO, M. RAUGI, F. TURCU Department of Electrc Systems and Automaton Unversty

More information

Figure 1. DC-DC Boost Converter

Figure 1. DC-DC Boost Converter EE36L, Power Electroncs, DC-DC Boost Converter Verson Feb. 8, 9 Overvew Boost converters make t possble to effcently convert a DC voltage from a lower level to a hgher level. Theory of Operaton Relaton

More information

ISSN: (p); (e) DEVELOPMENT OF FUZZY IX-MR CONTROL CHART USING FUZZY MODE AND FUZZY RULES APPROACHES

ISSN: (p); (e) DEVELOPMENT OF FUZZY IX-MR CONTROL CHART USING FUZZY MODE AND FUZZY RULES APPROACHES DEVELOPMENT OF FUZZY IX-MR CONTROL CHART USING FUZZY MODE AND FUZZY RULES APPROACHES Azam Morad Tad, Soroush Avakh Darestan 2* Department of Industral Engneerng, Scence and Research Branch, Islamc Azad

More information

On the Feasibility of Receive Collaboration in Wireless Sensor Networks

On the Feasibility of Receive Collaboration in Wireless Sensor Networks On the Feasblty of Receve Collaboraton n Wreless Sensor Networs B. Bantaleb, S. Sgg and M. Begl Computer Scence Department Insttute of Operatng System and Computer Networs (IBR) Braunschweg, Germany {behnam,

More information