Efficient Multi-domain ESD Analysis and Verification for Large SoC Designs

Size: px
Start display at page:

Download "Efficient Multi-domain ESD Analysis and Verification for Large SoC Designs"

Transcription

1 Efficient Multi-domain ESD Analysis and Verification for Large SoC Designs Norman Chang, Youlin Liao, Ying-Shiun Li, Pritesh Johari, Aveek Sarkar Apache Design Solutions, Inc., 2645 Zanker Road, San Jose, CA USA tel.: , Abstract An efficient layout-based multi-domain ESD analysis and verification method has been developed for large SoC designs containing thousands of bumps. A fast resistance and current density check for ESD discharging paths across multiple diodes/clamps represented as I-V curves is performed, including on-chip signal/power/ground/package grid. Real application examples are shown. I. Introduction Given the complexity of today s System-on-chip (SoC) designs, with higher resistance of power and ground meshes, increased device density, and greater sensitivity to device/metal structures breaking down in submicron technology nodes, the proper design and placement of electro-static discharge (ESD) protection circuitry has become quite critical [1]. Most engineering teams use well-defined rules for placement. However, advanced verification technologies aimed at checking the proper placement and connectivity of the protection circuitry are either not available, or rarely used. Visual inspection, plot checking, and design rule based checks are usually employed, although these methods do not provide sufficient verification coverage to ensure: (a) proper connectivity is maintained; (b) the overall resistance of discharge paths is below threshold limits; and, (c) junctions/wires are not subjected to breaking down from the discharge current and voltage build-up. Also schematic-only ESD check may not provide actual discharge path resistance from the layout. Therefore, efficient layout-based multi-domain ESD analysis and verification is required to address the needs of large SoC designs containing several hundred package pins in a wirebond package or thousands of Controlled Collapse Chip Connection (C4) bumps of a flip chip package [2,3]. II. Static ESD Check Methodology We are outlining a technology that is intended for verifying, at the full-chip level, the placement and connectivity of ESD protection circuitry for various discharge mechanisms. Figure 1 outlines the analysis flow. One of the input data is the layout of the SoC (usually in the form of a DEF/GDSII file), which provides all the power/ground/signal geometries (wires and vias) connecting the ESD circuits to the pads. The layout also contains placement information for the standard cells, memories, pads, analog blocks, and the diodes/clamps. Other input includes the ESD rules defining the maximum allowed resistance between various structures such as a pad to a clamp cell, one clamp cell to another; or one pad to another pad. For the current density analysis, users also need to provide the I-V curves of diodes/clamps and the peak ESD electro-migration (EM) limits for metal/via layers.

2 Figure 1: Full-chip ESD verification flow. Two broad categories of checks were performed. The first check was to ensure all the pads were connected to the clamp cells in one or multiple stages and have proper discharge paths to grounded pads; meeting the associated design rules (for HBM and MM discharge events). The second check was to ensure all the instances/transistors in the circuit have proper connectivity to the clamp circuits (for CDM discharge events). For the first category of checks, the tool is used at the full-chip level to measure the effective resistance between the following elements: a pad to a clamp cell, a pad to another pad through one or more clamp cells, and a clamp to another clamp. It performs this calculation using a built-in, multi-threaded, high capacity extraction and simulation technology. The resistance limits are defined, for example, between a pad to a clamp and from one pad to another pad. Figure 2 illustrates the technique employed for verifying a pad (e.g. a power pad VDD bump A ) to pad (e.g. a ground pad VSS bump B ) connection through diode/clamp cells. First, the loop resistance from the power pad to the ground pad through each of the three clamps is verified individually. This is done by solving for the conduction path between pads through the power and ground wire and via structures. If the conduction path through any one clamp does not meet the loop R threshold limit, that path is eliminated from the final effective resistance computation between the two pads. Assuming the remaining two clamps do meet the loop threshold criteria (and are observed participating in the ESD discharge event), the subsequent effective resistance calculation between the two pads is performed, with R on used for the two clamps. The individual loop R is defined as the effective resistance for the bump pair considering only one possible on-clamp path as shown in Figure 3. The loop R threshold and effective parallel R limits are defined by the users for the designs. For example, the loop R limit can be set as 4 ohms and effective R limit can be defined as 2 ohms [4] for a typical design. By using this technology for large SoC designs with multi-million gates, the analysis of more than 1000 bump pairs covering thousands of clamp devices in the P/G mesh network usually takes less than five hours. The HBM/MM analysis can also be done, including the package netlist, with several hundreds of pins. Figure 4 illustrates the HBM/MM check from a signal pad to the power/ground/signal pads that are typically found on an IO circuit. Figure 2: HBM/MM check through multiple diodes/clamps. Figure 3: Individual loop R for a bump pair through power clamps (PC).

3 Figure 4: HBM/MM resistance check for I/O pads where D* are diodes, PC* are power clamps, and P* are signal pads. For the full-chip static ESD check, the substrate resistance network do not need to be included in the analysis due to its higher resistance compared to the resistance of the metal layers. Therefore the loop R or effective R of a bump pair will not be affected, without or with the substrate R network. On the other hand, the package resistance netlist should be included since it provides lower resistance paths between wirebond pads or C4 bumps. In HBM analysis, an individual loop with minimum resistance path will help diagnosis a large effective resistance failure of a bump pair. For the 2 nd category of checks (CDM check) [5], the tool finds the smallest resistance from a power/ground node of an instance to the near-by clamps. If the instance is a large macro (for example, custom macro), more power/ground locations of the macro are checked for their resistance to their respective near-by clamp. This instance-clamp loop check is illustrated in Figure 5. If a large resistance exists between a macro to the clamp outside of a macro, static analysis will provide first level check of the potential CDM issues. In addition, the resistance on power/ground nodes of a cross-domain pair (i.e. driver-receiver pair with different power or ground domains) can be checked for similar resistive values. If the resistance value is very unbalanced between driver-receiver pair, it would possibly indicate a potential problem for large Vgs stress on the receiver circuitry. Due to subnanosecond discharging waveform, a comprehensive CDM check analysis, that considers metal layer L/C, die substrate R/C and well diodes are needed, such as the technology that is described in [6]. Figure 5: the CDM loop R check for standard, memory/ip, and IO cells. The above-mentioned ESD check methods can also be applied to an early floorplan stage where the top-layer power/ground mesh is designed and before detailed instance placement is available. Clamps can be easily added to create initial ESD protection design implementation as shown in Figure 6. This enables designers to perform whatif analysis and optimization in early design stage as well as in late stage fine-tuning for clamp placement. Figure 6: ESD cells can be optimized in the early analysis flow. III. Discharging Current Density Check An innovative and robust method has been developed for analyzing ESD current density in multi-million gate SoC designs. It verifies the

4 ability to handle a high current flow of the wires and vias in ESD protection implementation, by checking the current density against the established ESD EM limits. The analysis also provides the voltage stress levels in the design during HBM/MM/CDM discharge events. For analyzing a HBM/MM ESD event, we apply a zapping source to a pair of pads, or through a pair of package pins, and perform DC analysis to determine and report: a). among hundreds/thousands of clamp devices, the ones that are turned into on state and provide ESD discharging path. The non-linearity in I-V characteristics of snapback clamp or diode is included in the analysis. b). The voltages and currents in the design including that in all clamp devices, and EM percentages for the wires and vias are computed based on the state of the art ESD EM rules and limits. Figure 7 shows a pad-to-pad ESD check through possible multiple discharging paths, with the typical I-V curves for a snapback clamp or diode (simple turn-on without snapback), shown in Figure 8. I-V curves of diodes/clamps are usually provided from the foundry or in-house TLP measurement. Simulation containing multiple iterations will determine which clamps are on or off and its I-V value will lay on their respective I- V curves. Therefore the resulting current density on wires and vias represent a most likely scenario that need to be checked against ESD EM limits. Figure 7: Current density check of an HBM/MM event with diodes/clamps represented as I-V curves. Figure 8: Typical I-V curve for a snapback clamp and I-V curve for a diode is a degenerate case with Vh=Vt1. For ease of use, the tool also allows users the flexibility to determine the clamp devices that are involved in a particular scenario. The user can instruct the tool to include and analyze a particular list of clamp devices; or analyze one of the scenarios as in the pad-to-pad resistance check, where the loop R threshold is used to select on-state clamp devices. Hundreds and thousands of pad-to-pad pairs can be analyzed automatically with minimal user intervention. Performing current density analysis for pad-topad ESD event as described above helps study particular HBM/MM events. At the same time as part of the ESD sign-off coverage test, systematically analyzing the important ESD protection paths provides assurance for a successful tape-out. For example, as the I/O pads depicted in Figure 4, the ESD current density checks can be automatically performed for every pad-to-clamp pair, (P* to D*). For this situation multiple I/O nets are simulated in parallel, since they are disjoint in the layout space, and the execution is very quick. If multiple fingers of ESD diodes/clamps are extracted in the current density flow, it can be used to study the non-uniform current flow through the fingers as shown in [7]. For CDM events, it is crucially important to make sure that the critical connections in the layout have adequate capacity to sustain the high currents and at the same time, low enough resistances to provide protection against high voltage stress levels. For example, as in Figure 4,

5 the paths between the HBM/CDM diodes and the power clamps are designed to provide lowresistance discharge path during a CDM event, so that the design components connected along these paths will sustain low enough differential voltages. Our tool can perform hundreds and thousands of simulations for these paths automatically, and report the EM violations and voltage stress levels on the design elements. The results for ESD current density simulations are stored in the database and are readily available to load into a GUI for closer look at analyzing and debugging the layout problems. IV. Application Examples Two application examples are shown using the ESD checking methodology outlined above. The first example is an HBM/CDM analysis with 162 power/ground pads for a complex SoC design, as shown in Figure 9. A histogram can be generated for Bump2Bump analysis as shown in Figure 10. Figure 11 shows that a large discharging path resistance issue is identified when performing a CDM analysis (i.e. resistance check between instance power/ground nodes and near-by clamps) on the same example. A typical problem occurs when the instance is inside of an IP, while the cross-domain clamp is outside the IP [5], and the designers does have no control on their placement. Figure 10: Histogram of a bump2bump analysis result. Figure 11: Layout issue identified in the connectivity of a core instance to its near-by clamp during CDM analysis. The second example is a very large SoC (40M instances) with about 20 power/ground and 600 signal domains, as shown in Figure 12. The run time to perform the Bump2Bump checks covering several thousand power/ground/signal bumps and including discharge paths across multiple P/G domains connected by clamp devices, is shown in Figure 13 Figure 9: Full-chip HBM analysis result on a complex SoC.

6 Figure 12: an example of large SoC Chip. Figure 14: Current density map of the VSS->D5 diode-> AVSS->D2 diode->p2 signal_pad (refer to Figure 4) with -2000Volt zap at P2. Figure 13: Run time and memory usage. ESD check on IO part of the design, similar to those shown in Figure 4, found a high effective resistance path from VSS bump to Pad p2, through back-to-back diode and diode D2*. This failed the HBM testing. Further ESD discharge path analysis uncovered high resistance between D5 to D2, which caused the HBM failure with Volt zap at P2. Figures 14 and 15 show corresponding current density and ESD EM maps from current density check. For diodes/clamps, all the fingers are taken into account since the current flow may not be uniform throughout the finger arrays. Also, after the current density analysis, all of the node voltages are available and can be used to check the power/ground pin voltages of the cross-domain instances as shown in Figure 16. Figure 15: ESD EM map shows the HBM failure on P2 and AVSS nets. Figure 16: Voltage difference check on Vdd1/Vdd2/Vss1/Vss2 during HBM current density check on driver-receiver pair. V. Summary We have outlined a comprehensive ESD analysis and verification methodology for static HBM/MM/CDM layout-based resistance checking. A high-capacity pin-pair (or bump-pair) HBM/MM check was developed to meet the needs of large SoC ESD verification, including on-chip power/ground/signal grid and package netlists. This innovative and robust method for current density checking can simultaneously

7 consider multiple snapback (or non-snapback) I- V curves to reach an analysis result. Two application examples have been provided, and their problems have been identified and illustrated to highlight the critical importance of full-chip ESD analysis and verification. Acknowledgements We would like to thank J. Wang for providing ESD domain expertise at early phase of the project. Thanks to D. Tremouilles for providing much feedback on the paper. Also thanks to J. Pollayil, K. Sahni, K. Srinivasan, J. Kook, A. Kitahara, H. Lee, Y. Liu, S. Lin, D. Yang, and A. Yang for providing continuously stimulating discussion on solving real world ESD problems. References [1] A. Wang, On-chip ESD Protection for Integrated Circuits An IC Design Perspective, Kluwer Academic Publishers, [2] M. Muhammad, R. Gauthier, J. Li, A. Ginawi, J. Montstream, S. Mitra, K. Chatty, A. Joshi, K. Henderson, N. Palmer, B. Hulse, An ESD Design Automation Framework and Tool Flow for Nano-scale CMOS Technologies, ESD Symposium, [3] M. Okushima, T. Kitayama, S. Kobayashi, T. Kato, M. Hirato, Cross Domain Protection Analysis and Verification using Whole Chip ESD Simulation, ESD Symposium, [4] TSMC Design Rule Manual, [5] J. Karp, V. Kireev, D. Tsaggaris, M. Fakhraddin, Effect of Flip-chip Package Parameters on CDM Discharge, ESD Symposium, [6] P. Galy, R. Chevallier, N. Monnet, A. Dray, G. Troussier, F. Jezequel, ST Micro, A. Yang, S. Lin, Y. Liu, Y.S. Li, N. Vialle, Apache Design Solutions, First Tentative for Predictive Simulation Tool on Dynamic CDM Event for Advanced CMOS Technology, ESD IEW, [7] C. Jeon, J. Ko, K. Lee, H. Kim, G. Choi, Samsung, and J. Kook, Apache Design Solutions, IO Layout Verification and Optimization Methodology Set-up by EOSESD Current Density Simulation, 18th Korean Conference on Semiconductors, 2011.

HBM Failure Diagnosis on a High-Frequency Analog Design with Full-chip Dynamic ESD Simulation

HBM Failure Diagnosis on a High-Frequency Analog Design with Full-chip Dynamic ESD Simulation HBM Failure Diagnosis on a High-Frequency Analog Design with Full-chip Dynamic ESD Simulation Paul Tong, Anna Tam, Ping Ping Xu, KS Lin, John Hui Norman Chang*, Bo Hu*, Karthik Srinivasan*, Margaret Schmitt*

More information

Noise Constraint Driven Placement for Mixed Signal Designs. William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting

Noise Constraint Driven Placement for Mixed Signal Designs. William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting Noise Constraint Driven Placement for Mixed Signal Designs William Kao and Wenkung Chu October 20, 2003 CAS IEEE SCV Meeting Introduction OUTLINE Substrate Noise: Some Background Substrate Noise Network

More information

Signal Integrity Modeling and Simulation for IC/Package Co-Design

Signal Integrity Modeling and Simulation for IC/Package Co-Design Signal Integrity Modeling and Simulation for IC/Package Co-Design Ching-Chao Huang Optimal Corp. October 24, 2004 Why IC and package co-design? The same IC in different packages may not work Package is

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

Evaluation of Package Properties for RF BJTs

Evaluation of Package Properties for RF BJTs Application Note Evaluation of Package Properties for RF BJTs Overview EDA simulation software streamlines the development of digital and analog circuits from definition of concept and estimation of required

More information

Electrostatic Test Structures for Transmission Line Pulse and Human Body Model Testing at Wafer Level

Electrostatic Test Structures for Transmission Line Pulse and Human Body Model Testing at Wafer Level Electrostatic Test Structures for Transmission Line Pulse and Human Body Model Testing at Wafer Level Robert Ashton 1, Stephen Fairbanks 2, Adam Bergen 1, Evan Grund 3 1 Minotaur Labs, Mesa, Arizona, USA

More information

FULL CHIP MODELING FOR PREDICTIVE SIMULATION OF CHARGED DEVICE MODEL ELECTROSTATIC DISCHARGE EVENTS VRASHANK GURUDATTA SHUKLA THESIS

FULL CHIP MODELING FOR PREDICTIVE SIMULATION OF CHARGED DEVICE MODEL ELECTROSTATIC DISCHARGE EVENTS VRASHANK GURUDATTA SHUKLA THESIS FULL CHIP MODELING FOR PREDICTIVE SIMULATION OF CHARGED DEVICE MODEL ELECTROSTATIC DISCHARGE EVENTS BY VRASHANK GURUDATTA SHUKLA THESIS Submitted in partial fulfillment of the requirements for the degree

More information

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC Bud Hunter, SerDes Analog IC Design Manager, Wipro Kelly Damalou, Sr. Technical Account Manager, Helic TSMC

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li Design Service Division, GLOBAL UNICHIP CORP., Taiwan, ROC Xiaopeng

More information

Improving Design Reliability By Avoiding EOS. Matthew Hogan, Mentor Graphics

Improving Design Reliability By Avoiding EOS. Matthew Hogan, Mentor Graphics Improving Design Reliability By Avoiding EOS. Matthew Hogan, Mentor Graphics BACKGROUND With the advent of more complex design requirements and greater variability in operating environments, electrical

More information

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology Chih-Ting Yeh (1, 2) and Ming-Dou Ker (1, 3) (1) Department

More information

Towards a Model for Impact of Technology Evolution on Wafer-Level ESD Damage Susceptibility. Lou DeChiaro Terry Welsher

Towards a Model for Impact of Technology Evolution on Wafer-Level ESD Damage Susceptibility. Lou DeChiaro Terry Welsher Towards a Model for Impact of Technology Evolution on Wafer-Level ESD Damage Susceptibility Lou DeChiaro Terry Welsher www.dangelmayer.com Setting the Stage Wafer level ESD damage has long been a mystery

More information

Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc.

Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc. February 2014 Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc. Low Noise Amplifiers (LNAs) amplify weak signals received by the antenna in communication systems.

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Modelling electromagnetic field coupling from an ESD gun to an IC

Modelling electromagnetic field coupling from an ESD gun to an IC Modelling electromagnetic field coupling from an ESD gun to an IC Ji Zhang #1, Daryl G Beetner #2, Richard Moseley *3, Scott Herrin *4 and David Pommerenke #5 # EMC Laboratory, Missouri University of Science

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information

ESD Design & Qualification for Integrated Circuits

ESD Design & Qualification for Integrated Circuits Design & Qualification for Integrated Circuits Vesselin Vassilev, Ph.D. vesselin.vassilev@novorell.com General Chair 2010 EOS/ Symposium October 2-8, 2010, John Ascuaga s Nugget Resort, Sparks (Reno),

More information

Conference paper Protection of a 3.3V Domain and

Conference paper Protection of a 3.3V Domain and Conference paper Protection of a 3.3V Domain and Switchable 1.8V/3.3V I/O in a 40nm pure 1.8V Process EOS/ESD Symposium 2011 Today s advanced technologies overdrive transistors cannot always meet the signal

More information

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Authors: Rick Brooks, Cisco, ricbrook@cisco.com Jane Lim, Cisco, honglim@cisco.com Udupi Harisharan, Cisco,

More information

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys

SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT. Hagay Guterman, CSR Jerome Toublanc, Ansys SUBSTRATE NOISE FULL-CHIP LEVEL ANALYSIS FLOW FROM EARLY DESIGN STAGES TILL TAPEOUT Hagay Guterman, CSR Jerome Toublanc, Ansys Speakers Hagay Guterman, CSR Hagay Guterman is a senior signal and power integrity

More information

The Need for Gate-Level CDC

The Need for Gate-Level CDC The Need for Gate-Level CDC Vikas Sachdeva Real Intent Inc., Sunnyvale, CA I. INTRODUCTION Multiple asynchronous clocks are a fact of life in today s SoC. Individual blocks have to run at different speeds

More information

Application Note 5012

Application Note 5012 MGA-61563 High Performance GaAs MMIC Amplifier Application Note 5012 Application Information The MGA-61563 is a high performance GaAs MMIC amplifier fabricated with Avago Technologies E-pHEMT process and

More information

Source: IC Layout Basics. Diodes

Source: IC Layout Basics. Diodes Source: IC Layout Basics C HAPTER 7 Diodes Chapter Preview Here s what you re going to see in this chapter: A diode is a PN junction How several types of diodes are built A look at some different uses

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Application Note 5011

Application Note 5011 MGA-62563 High Performance GaAs MMIC Amplifier Application Note 511 Application Information The MGA-62563 is a high performance GaAs MMIC amplifier fabricated with Avago Technologies E-pHEMT process and

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

LSI Design Flow Development for Advanced Technology

LSI Design Flow Development for Advanced Technology LSI Design Flow Development for Advanced Technology Atsushi Tsuchiya LSIs that adopt advanced technologies, as represented by imaging LSIs, now contain 30 million or more logic gates and the scale is beginning

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

Signal integrity means clean

Signal integrity means clean CHIPS & CIRCUITS As you move into the deep sub-micron realm, you need new tools and techniques that will detect and remedy signal interference. Dr. Lynne Green, HyperLynx Division, Pads Software Inc The

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion

Fixing Antenna Problem by Dynamic Diode Dropping and Jumper Insertion Fixing Antenna Problem by Dynamic Dropping and Jumper Insertion Peter H. Chen and Sunil Malkani Chun-Mou Peng James Lin TeraLogic, Inc. International Tech. Univ. National Semi. Corp. 1240 Villa Street

More information

Single-Axis, High-g, imems Accelerometers ADXL193

Single-Axis, High-g, imems Accelerometers ADXL193 Single-Axis, High-g, imems Accelerometers ADXL193 FEATURES Complete acceleration measurement system on a single monolithic IC Available in ±120 g or ±250 g output full-scale ranges Full differential sensor

More information

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints

Advanced In-Design Auto-Fixing Flow for Cell Abutment Pattern Matching Weakpoints Cell Abutment Pattern Matching Weakpoints Yongfu Li, Valerio Perez, I-Lun Tseng, Zhao Chuan Lee, Vikas Tripathi, Jason Khaw and Yoong Seang Jonathan Ong GLOBALFOUNDRIES Singapore ABSTRACT Pattern matching

More information

ESD Protection Design with the Low-Leakage-Current Diode String for RF Circuits in BiCMOS SiGe Process

ESD Protection Design with the Low-Leakage-Current Diode String for RF Circuits in BiCMOS SiGe Process ESD Protection Design with the Low-Leakage-Current Diode String for F Circuits in BiCMOS SiGe Process Ming-Dou Ker and Woei-Lin Wu Nanoelectronics and Gigascale Systems Laboratory nstitute of Electronics,

More information

Electromagnetic Compatibility ( EMC )

Electromagnetic Compatibility ( EMC ) Electromagnetic Compatibility ( EMC ) Introduction about IC Immunity Testing 1-5 -1 Agenda 1-5 -2 Semiconductor Immunity Test ESD ( ) Chip level test Human Body Mode MIL-STD 883E method 3015.7 or EIA/JESD

More information

LUCEDA PHOTONICS DELIVERS A SILICON PHOTONICS IC SOLUTION IN TANNER L-EDIT

LUCEDA PHOTONICS DELIVERS A SILICON PHOTONICS IC SOLUTION IN TANNER L-EDIT LUCEDA PHOTONICS DELIVERS A SILICON PHOTONICS IC SOLUTION IN TANNER L-EDIT WIM BOGAERTS, PIETER DUMON, AND MARTIN FIERS, LUCEDA PHOTONICS JEFF MILLER, MENTOR GRAPHICS A M S D E S I G N & V E R I F I C

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

EE 230 Lab Lab 9. Prior to Lab

EE 230 Lab Lab 9. Prior to Lab MOS transistor characteristics This week we look at some MOS transistor characteristics and circuits. Most of the measurements will be done with our usual lab equipment, but we will also use the parameter

More information

Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis

Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis Art Schaldenbrand, Dr. Walter Hartong, Amit Bajaj, Hany Elhak, and Vladimir Zivkovic, Cadence While the analog and mixed-signal

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012 Si Photonics Technology Platform for High Speed Optical Interconnect Peter De Dobbelaere 9/17/2012 ECOC 2012 - Luxtera Proprietary www.luxtera.com Overview Luxtera: Introduction Silicon Photonics: Introduction

More information

Design on the Low-Leakage Diode String for Using in the Power-Rail ESD Clamp Circuits in a 0.35-m Silicide CMOS Process

Design on the Low-Leakage Diode String for Using in the Power-Rail ESD Clamp Circuits in a 0.35-m Silicide CMOS Process IEEE TRANSACTIONS ON SOLID-STATE CIRCUITS, VOL. 35, NO. 4, APRIL 2000 601 Design on the Low-Leakage Diode String for Using in the Power-Rail ESD Clamp Circuits in a 0.35-m Silicide CMOS Process Ming-Dou

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

EE 330 Laboratory 7 MOSFET Device Experimental Characterization and Basic Applications Spring 2017

EE 330 Laboratory 7 MOSFET Device Experimental Characterization and Basic Applications Spring 2017 EE 330 Laboratory 7 MOSFET Device Experimental Characterization and Basic Applications Spring 2017 Objective: The objective of this laboratory experiment is to become more familiar with the operation of

More information

Structure Optimization of ESD Diodes for Input Protection of CMOS RF ICs

Structure Optimization of ESD Diodes for Input Protection of CMOS RF ICs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.401 ISSN(Online) 2233-4866 Structure Optimization of ESD Diodes for

More information

Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC

Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC DesignCon 2017 Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC Kwangseok Choi, Samsung Electronics Inc. [aquarian505@gmail.com] Byunghyun Lee, Samsung Electronics Inc.

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation Also presented at the January 31, 2005 IBIS Summit SIGRITY, INC. Sam Chitwood Raymond Y. Chen Jiayuan Fang March 2005

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

Transient Temperature Analysis. Rajit Chandra, Ph.D. Gradient Design Automation

Transient Temperature Analysis. Rajit Chandra, Ph.D. Gradient Design Automation Transient Temperature Analysis Rajit Chandra, Ph.D. Gradient Design Automation Trends in mixed signal designs More designs with switching high power drivers (smart power chips, automotive, high-speed communications,

More information

Verification Structures for Transmission Line Pulse Measurements

Verification Structures for Transmission Line Pulse Measurements Verification Structures for Transmission Line Pulse Measurements R.A. Ashton Agere Systems, 9333 South John Young Parkway, Orlando, Florida, 32819 USA Phone: 44-371-731; Fax: 47-371-777; e-mail: rashton@agere.com

More information

PDS Impact for DDR Low Cost Design

PDS Impact for DDR Low Cost Design PDS Impact for DDR3-1600 Low Cost Design Jack W.C. Lin Sr. AE Manager jackl@cadence.com Aug. g 13 2013 Cadence, OrCAD, Allegro, Sigrity and the Cadence logo are trademarks of Cadence Design Systems, Inc.

More information

IN NANOSCALE CMOS technology, the gate oxide thickness

IN NANOSCALE CMOS technology, the gate oxide thickness 3456 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 59, NO. 12, DECEMBER 2012 Resistor-Less Design of Power-Rail ESD Clamp Circuit in Nanoscale CMOS Technology Chih-Ting Yeh, Student Member, IEEE, and Ming-Dou

More information

A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process

A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process LETTER IEICE Electronics Express, Vol.14, No.21, 1 10 A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process Xiaoyun Li, Houpeng Chen a), Yu Lei b), Qian Wang, Xi Li, Jie

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Introduction to VFTLP+

Introduction to VFTLP+ Introduction to VFTLP+ VFTLP was originally developed to provide I-V characteristics of CDM protection and its analysis has been similar to that of TLP data used to analyze HBM protection circuits. VFTLP

More information

SFC3.3-4 Low Voltage ChipClamp ΤΜ Flip Chip TVS Diode Array

SFC3.3-4 Low Voltage ChipClamp ΤΜ Flip Chip TVS Diode Array Description The SFC3.3-4 is a quad flip chip TS diode array. They are state-of-the-art devices that utilize solid-state EPD TS technology for superior clamping performance and DC electrical characteristics.

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

The Physics of Single Event Burnout (SEB)

The Physics of Single Event Burnout (SEB) Engineered Excellence A Journal for Process and Device Engineers The Physics of Single Event Burnout (SEB) Introduction Single Event Burnout in a diode, requires a specific set of circumstances to occur,

More information

MODELLING THE ELECTROSTATIC DISCHARGE PHENOMENA OF A CMOS ADDER STRUCTURE

MODELLING THE ELECTROSTATIC DISCHARGE PHENOMENA OF A CMOS ADDER STRUCTURE Électronique et transmission de l information MODELLING THE ELECTROSTATIC DISCHARGE PHENOMENA OF A CMOS ADDER STRUCTURE ANA-MARIA NICUŢĂ 1 Key words: Electrostatic discharge, One-bit full adder, Transmission

More information

Sticks Diagram & Layout. Part II

Sticks Diagram & Layout. Part II Sticks Diagram & Layout Part II Well and Substrate Taps Substrate must be tied to GND and n-well to V DD Metal to lightly-doped semiconductor forms poor connection called Shottky Diode Use heavily doped

More information

AWR. SIP Flow White Paper UNDERSTANDING AVAILABLE TOOLS FOR RF SYSTEM-IN-PACKAGE AND MULTI-CHIP-MODULE DESIGN AND OPTIMIZATION

AWR. SIP Flow White Paper UNDERSTANDING AVAILABLE TOOLS FOR RF SYSTEM-IN-PACKAGE AND MULTI-CHIP-MODULE DESIGN AND OPTIMIZATION UNDERSTANDING AVAILABLE TOOLS FOR RF SYSTEM-IN-PACKAGE AND MULTI-CHIP-MODULE DESIGN AND OPTIMIZATION RF system-in-package (SiP) and multi-chip-module (MCM) designs present engineers with the challenge

More information

The Design of E-band MMIC Amplifiers

The Design of E-band MMIC Amplifiers The Design of E-band MMIC Amplifiers Liam Devlin, Stuart Glynn, Graham Pearson, Andy Dearn * Plextek Ltd, London Road, Great Chesterford, Essex, CB10 1NY, UK; (lmd@plextek.co.uk) Abstract The worldwide

More information

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements

! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! Standard Cells. ! CMOS Process Enhancements EE 570: igital Integrated Circuits and VLI Fundamentals Lec 3: January 18, 2018 MO Fabrication pt. 2: esign Rules and Layout Lecture Outline! MO evice Layout! Inverter Layout! Gate Layout and tick iagrams!

More information

Emulating and Diagnosing IR-Drop by Using Dynamic SDF

Emulating and Diagnosing IR-Drop by Using Dynamic SDF Emulating and Diagnosing IR-Drop by Using Dynamic SDF Ke Peng *, Yu Huang **, Ruifeng Guo **, Wu-Tung Cheng **, Mohammad Tehranipoor * * ECE Department, University of Connecticut, {kpeng, tehrani}@engr.uconn.edu

More information

Next Generation Curve Tracing & Measurement Tips for Power Device. Kim Jeong Tae RF/uW Application Engineer Keysight Technologies

Next Generation Curve Tracing & Measurement Tips for Power Device. Kim Jeong Tae RF/uW Application Engineer Keysight Technologies Next Generation Curve Tracing & Measurement Tips for Power Device Kim Jeong Tae RF/uW Application Engineer Keysight Technologies Agenda Page 2 Conventional Analog Curve Tracer & Measurement Challenges

More information

Design of Adders with Less number of Transistor

Design of Adders with Less number of Transistor Design of Adders with Less number of Transistor Mohammed Azeem Gafoor 1 and Dr. A R Abdul Rajak 2 1 Master of Engineering(Microelectronics), Birla Institute of Technology and Science Pilani, Dubai Campus,

More information

CharFlo-Cell! Cell! Next-Generation Solution for Characterizing and Modeling Standard Cell and I/O Library

CharFlo-Cell! Cell! Next-Generation Solution for Characterizing and Modeling Standard Cell and I/O Library CharFlo-Cell! Cell! TM Next-Generation Solution for Characterizing and Modeling Standard Cell and I/O Library Agenda Introduction The Flow of CharFlo-Cell! The Applications and Features BiSection Methods

More information

MODELING AND SIMULATION OF FULL-COMPONENT INTEGRATED CIRCUITS IN TRANSIENT ESD EVENTS KUO-HSUAN MENG DISSERTATION

MODELING AND SIMULATION OF FULL-COMPONENT INTEGRATED CIRCUITS IN TRANSIENT ESD EVENTS KUO-HSUAN MENG DISSERTATION MODELING AND SIMULATION OF FULL-COMPONENT INTEGRATED CIRCUITS IN TRANSIENT ESD EVENTS BY KUO-HSUAN MENG DISSERTATION Submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy

More information

A Low Noise, Voltage Control Ring Oscillator Based on Pass Transistor Delay Cell

A Low Noise, Voltage Control Ring Oscillator Based on Pass Transistor Delay Cell A Low Noise, Voltage Control Ring Oscillator Based on Pass Transistor Delay Cell Devi Singh Baghel 1, R.C. Gurjar 2 M.Tech Student, Department of Electronics and Instrumentation, Shri G.S. Institute of

More information

Respin by Pin-Block Design and Floorplanning for Package-Board Codesign

Respin by Pin-Block Design and Floorplanning for Package-Board Codesign Fast Flip-Chip Pin-Out esignation Respin by Pin-Block esign and Floorplanning for Package-Board Codesign Ren-Jie Lee, Ming-Fang Lai and Hung-Ming Chen epartment of Electronics Engineering and SoC Research

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur VLSI Physical Design Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture- 05 VLSI Physical Design Automation (Part 1) Hello welcome

More information

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI

Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Semiconductor Technology Academic Research Center An RTL-to-GDS2 Design Methodology for Advanced System LSI Jan. 28. 2011 Nobuyuki Nishiguchi Semiconductor Technology Advanced Research Center (STARC) ASP-DAC

More information

Machine Learning for Next Generation EDA. Paul Franzon, NCSU (Site Director) Cirrus Logic Distinguished Professor Director of Graduate Programs

Machine Learning for Next Generation EDA. Paul Franzon, NCSU (Site Director) Cirrus Logic Distinguished Professor Director of Graduate Programs Machine Learning for Next Generation EDA Paul Franzon, NCSU (Site Director) Cirrus Logic Distinguished Professor Director of Graduate Programs Outline Introduction Vision Surrogate Modeling Applying Machine

More information

Dynamic Threshold for Advanced CMOS Logic

Dynamic Threshold for Advanced CMOS Logic AN-680 Fairchild Semiconductor Application Note February 1990 Revised June 2001 Dynamic Threshold for Advanced CMOS Logic Introduction Most users of digital logic are quite familiar with the threshold

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

DesignCon Full Chip Signal and Power Integrity with Silicon Substrate Effect. Norio Matsui Dileep Divekar Neven Orhanovic

DesignCon Full Chip Signal and Power Integrity with Silicon Substrate Effect. Norio Matsui Dileep Divekar Neven Orhanovic DesignCon 2004 Chip-Level Physical Design Full Chip Signal and Power Integrity with Silicon Substrate Effect Norio Matsui Dileep Divekar Neven Orhanovic Applied Simulation Technology, Inc. 408-436-9070

More information

TCP-3182H. 8.2 pf Passive Tunable Integrated Circuits (PTIC)

TCP-3182H. 8.2 pf Passive Tunable Integrated Circuits (PTIC) TCP-3182H 8.2 pf Passive Tunable Integrated Circuits (PTIC) Introduction ON Semiconductor s PTICs have excellent RF performance and power consumption, making them suitable for any mobile handset or radio

More information

Short Channel Bandgap Voltage Reference

Short Channel Bandgap Voltage Reference Short Channel Bandgap Voltage Reference EE-584 Final Report Authors: Thymour Legba Yugu Yang Chris Magruder Steve Dominick Table of Contents Table of Figures... 3 Abstract... 4 Introduction... 5 Theory

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications

A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications Jinwen Xiao Angel Peterchev Jianhui Zhang Prof. Seth Sanders Power Electronics Group Dept. of

More information

EE-382M-8 VLSI II. Early Design Planning: Back End. Mark McDermott. The University of Texas at Austin. EE 382M-8 VLSI-2 Page Foil # 1 1

EE-382M-8 VLSI II. Early Design Planning: Back End. Mark McDermott. The University of Texas at Austin. EE 382M-8 VLSI-2 Page Foil # 1 1 EE-382M-8 VLSI II Early Design Planning: Back End Mark McDermott EE 382M-8 VLSI-2 Page Foil # 1 1 Backend EDP Flow The project activities will include: Determining the standard cell and custom library

More information

Dual-Axis, High-g, imems Accelerometers ADXL278

Dual-Axis, High-g, imems Accelerometers ADXL278 FEATURES Complete dual-axis acceleration measurement system on a single monolithic IC Available in ±35 g/±35 g, ±50 g/±50 g, or ±70 g/±35 g output full-scale ranges Full differential sensor and circuitry

More information

Design of an 8 bit differential paired efuse OTP memory IP reducing sensing resistance

Design of an 8 bit differential paired efuse OTP memory IP reducing sensing resistance J. Cent. South Univ. (2012) 19: 168 173 DOI: 10.1007/s11771 012 0987 4 Design of an 8 bit differential paired efuse OTP memory IP reducing sensing resistance JANG Ji Hye, JIN Li yan( 金丽妍 ), JEON Hwang

More information

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed)

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed) Title Author(s) Editor(s) A passive circuit based RF optimization methodology for wireless sensor network nodes Zheng, Liqiang; Mathewson, Alan; O'Flynn, Brendan; Hayes, Michael; Ó Mathúna, S. Cian Wu,

More information

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT 2-8.1 2-8.2 Spiral 2 8 Cell Mark Redekopp earning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as

More information

Correlation Considerations: Real HBM to TLP and HBM Testers

Correlation Considerations: Real HBM to TLP and HBM Testers Correlation Considerations: Real HBM to TLP and HBM Testers Jon Barth, John Richner Barth Electronics, Inc., 1589 Foothill Drive, Boulder City, NV 89005 USA tel.: (702)- 293-1576, fax: (702)-293-7024,

More information

Product Specification PE42540

Product Specification PE42540 PE42540 Product Description The PE42540 is a HaRP technology-enhanced absorptive SP4T RF switch developed on UltraCMOS process technology. This switch is designed specifically to support the requirements

More information

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS Marc van Heijningen, John Compiet, Piet Wambacq, Stéphane Donnay and Ivo Bolsens IMEC

More information

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation West Coast Luncheon January 15, 2014. PROMEX PROMEX INDUSTRIES INC. MICROELECTRONICS ASSSEMBLY TECHNOLOGIES The QFN Platform as a Chip Packaging Foundation 3075 Oakmead Village Drive Santa Clara CA Ɩ 95051

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 1.1 Historical Background Recent advances in Very Large Scale Integration (VLSI) technologies have made possible the realization of complete systems on a single chip. Since complete

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information