Exploring the Software Stack for Underdesigned Computing Machines Rajesh Gupta UC San Diego.

Size: px
Start display at page:

Download "Exploring the Software Stack for Underdesigned Computing Machines Rajesh Gupta UC San Diego."

Transcription

1 Exploring the Software Stack for Underdesigned Computing Machines Rajesh Gupta UC San Diego. 1

2 Exploring the Software Stack for Underdesigned Computing Machines 1

3 Exploring the Software Stack for Underdesigned Computing Machines

4 The Hardware-Software Boundary Application Application Operating System Hardware Abstraction Layer (HAL) 3

5 The Hardware-Software Boundary Application Application Operating System Hardware Abstraction Layer (HAL) 4

6 The Hardware-Software Boundary Application Application Operating System Hardware Abstraction Layer (HAL) 5

7 Performance Manufacturing Variability Meets Moore s Law: From Chiseled Transistors to Molecular Assemblies nominal scaling 130nm 90nm 65nm 45nm 32nm 22nm post-silicon Technology Generation 6

8 Performance Manufacturing Variability Meets Moore s Law: From Chiseled Transistors to Molecular Assemblies nominal scaling 130nm 90nm 65nm 45nm 32nm 22nm post-silicon Technology Generation 6

9 Manufacturing Variability Meets Moore s Law: From Chiseled Transistors to Molecular Assemblies nominal scaling Performance 249,403,263 Si atoms: 68,743 donors & 13,042 acceptors 130nm 90nm 65nm 45nm 32nm 22nm post-silicon Technology Generation 6

10 Manufacturing Variability Meets Moore s Law: From Chiseled Transistors to Molecular Assemblies nominal scaling Performance 249,403,263 Si atoms: 68,743 donors & 13,042 acceptors 130nm 90nm 65nm 45nm 32nm 22nm post-silicon Technology Generation 6

11 Manufacturing Variability Meets Moore s Law: From Chiseled Transistors to Molecular Assemblies nominal scaling Performance 249,403,263 Si atoms: 68,743 donors & 13,042 acceptors design for worst case: overdesigned scaling 130nm 90nm 65nm 45nm 32nm 22nm post-silicon Technology Generation 6

12 Manufacturing Variability Meets Moore s Law: From Chiseled Transistors to Molecular Assemblies Performance 249,403,263 Si atoms: 68,743 donors & 13,042 acceptors nominal scaling design for nominal, let software handle variation design for worst case: overdesigned scaling 130nm 90nm 65nm 45nm 32nm 22nm post-silicon Technology Generation 6

13 Active Power Variability Across Instances Cortex M3 Active Room Temperature Current (ma) P1 UCLA P2 P3 P4 P5 Processor Instance P6 P7 P8 P9 P10 Atmel SAM3U4E Cortex M3 Active Mode, 4MHz Internal Oscillator Room Temperature 7

14 Active Power Variability Across Instances Cortex M3 Active Room Temperature ~ 5% Variation Current (ma) UCLA P3 Processor Instance P10 Atmel SAM3U4E Cortex M3 Active Mode, 4MHz Internal Oscillator Room Temperature 7

15 Active Power Variability Across Temperature Current (ma) P1 P2 P3 P4 P5 P6 P7 P8 P9 P Temperature 8

16 Active Power Variability Across Temperature Current (ma) Temperature P5 P8 P10 9

17 Active Power Variability Across Temperature % Variation % Variation 28% Variation Current (ma) Temperature P5 P8 P10 9

18 Sleep Power Variability Across Instances Cortex M3 Sleep Current (Room Temperature) Current ( A) P1 P2 P3 P4 P5 Processor Instance P6 P7 P8 P9 P10 Atmel SAM3U4E Cortex M3 Sleep Mode, 32KHz Slow Oscillator Room Temperature 10

19 Sleep Power Variability Across Instances Cortex M3 Sleep Current (Room Temperature) x Variation Current ( A) 10 0 P3 Processor Instance P10 Atmel SAM3U4E Cortex M3 Sleep Mode, 32KHz Slow Oscillator Room Temperature 10

20 Sleep Power Variability Across Temperature Current ( A) P1 P2 P3 P4 P5 P6 P7 P8 P9 P Temperature 11

21 Sleep Power Variability Across Temperature Current ( A) Temperature P7 P9 P10 12

22 Sleep Power Variability Across Temperature x Variation 4x Variation Current ( A) % Variation Temperature P7 P9 P10 12

23 Source #1: Manufacturing Variability Example Frequency variation in an 80-core processor within a single die in Intel's 65nm technology [Dighe10] Frequency (GHz) GHz 5.7 GHz 1.2V 0.8V 25% 50% Observables Maximum speed, energy efficiency Mitigation mechanism Computation fidelity Core ID Permanence Spatial Granularity Temporal Rapidity Magnitude Permanent Within & across part Fixed Large 13

24 Source #2: Vendor Variability Example Power variation across five 512 MB DDR2-533 DRAM parts [Hanson07] Observables Relative cost of memory and compute operations Mitigation mechanism Algorithm selection Permanence Spatial Granularity Temporal Rapidity Magnitude Permanent Part-to-part Fixed Large 14

25 Source #2: Vendor Variability Example Power variation across five 512 MB DDR2-533 DRAM parts [Hanson07] NormalizedCurrent/Power Observables Relative cost of memory and compute operations Mitigation mechanism Algorithm selection Max Active (idd7) Max Idle (Idd3N) 0 Vendor 1 Vendor 2 Vendor 3 Vendor 4 Vendor 5 Permanence Spatial Granularity Temporal Rapidity Magnitude Permanent Part-to-part Fixed Large 14

26 Source #3: Ambient Variability Example Variation in Psleep with temperature across five instances of an ARM Cortex M3 processor Sleep Power (mw) Observables Sleep mode power Mitigation mechanism Adapt duty cycle ratio Temperature ( O C) Permanence Spatial Granularity Temporal Rapidity Magnitude Transient Part-to-part Medium Large 15

27 Source #4: Aging Example F/F (a.u.) Normalized frequency degradation in 65 nm due to NBTI [Zheng09] Model RO measurement Observables Speed degradation, increased error Mitigation mechanism Computation elasticity x10 3 4x10 3 6x10 3 8x Time (s) Permanence Spatial Granularity Temporal Rapidity Magnitude Permanent Within & across part Slow Medium 16

28 Sources of Variability Frequency variation in an 80-core processor within a single die in Intel's 65nm technology 7 1.2V 25% Frequency (GHz) GHz 5.7 GHz 0.8V 3 50% Core ID 17

29 Sources of Variability Frequency e (GHz) Frequency variation in an 80-core processor within a single die in Intel's 65nm technology Core ID 1.2V 0.8V 25% GHz Semiconductor 5.7 GHz Manufacturing 5 50% Power variation across five 512 MB DDR2-533 DRAM parts [Hanson07] 2.5 Vendor Differences Max Active (idd7) 0 Max Idle (Idd3N) Vendor 1 Vendor 2 Vendor 3 Vendor 4 Vendor 5 2 NormalizedCurrent/Power rren Variation in Psleep with temperature across five instances of an ARM Cortex M3 processor Normalized frequency degradation in 65 nm due to NBTI [Zheng09] Sleep e Power (mw) Ambient Conditions F/F (a.u.) Model RO measurement Aging Temperature ( O C) x10 3 4x10 3 6x10 3 8x Time (s) 17

30 Let us take another look at the HW/SW stack Application Application Operating System Hardware Abstraction Layer (HAL) 20x in sleep power 50% in performance }overdesigned hardware Time or part 18

31 Let us take another look at the HW/SW stack Application Application Operating System Hardware Abstraction Layer (HAL) 20x in sleep power 50% in performance }overdesigned hardware 40% larger chip 35% more active power 60% more sleep power Time or part 18

32 Let us take another look at the HW/SW stack Application Application Operating System Hardware Abstraction Layer (HAL) }overdesigned hardware 40% larger chip 35% more active power 60% more sleep power Time or part 18

33 Imagine a new hardware-software interface... Application Application Operating System Hardware Abstraction Layer (HAL) Time or part 19

34 Imagine a new hardware-software interface... Application Application Operating System Hardware Abstraction Layer (HAL) Underdesigned Hardware Time or part 19

35 Imagine a new hardware-software interface... Traditional Fault-tolerance Application Application Operating System Opportunistic Software Hardware Abstraction Layer (HAL) minimal variability handling in hardware Underdesigned Hardware Time or part 19

36 Hardware: Self-monitoring as opposed to selfhealing Measure hardware signatures, use fluid constraints in HW design, error possibility in operation using simple device monitors Static and Dynamic Reliability Management Worst case PVTS assumed Realistic PVTS conditions Number of Chips Reliability Slack Target Lifetime(T LT ) Lifetime 20

37 Hardware: Self-monitoring as opposed to selfhealing Measure hardware signatures, use fluid constraints in HW design, error possibility in operation using simple device monitors Static and Dynamic Reliability Management 20

38 Hardware: Self-monitoring as opposed to selfhealing Measure hardware signatures, use fluid constraints in HW design, error possibility in operation using simple device monitors Static and Dynamic Reliability Management Worst case PVTS assumed Realistic PVTS conditions Number of Chips Reliability Slack Traded with performance Realistic PVTS conditions with DRM Target Lifetime(T LT ) Lifetime 20

39 Hardware: Self-monitoring as opposed to selfhealing Measure hardware signatures, use fluid constraints in HW design, error possibility in operation using simple device monitors Static and Dynamic Reliability Management Worst case PVTS assumed Realistic PVTS conditions Number of Chips Reliability Slack Traded with performance Target Lifetime(T LT ) Realistic PVTS conditions with DRM Process Lifetime Circuit Functional System 20

40 21

41 21

42 An Underdesigned Multiplier Idea: change functional description of arithmetic units instead of voltage overscaling Basic building block: 2x2 multiplier Computes 11 x 11 = 111 (not 1001) Scalable to arbitrary bit widths by adding partial products ~40% power reduction but ~8% power overhead in correct mode Average error ~3.3%, max error ~22.2% Comparison with voltage overscaling (image filtering) a) Inaccurate multiplier, 41.5% power reduction, SNR : 20.3dB b) Voltage over-scaling, 30% power reduction, SNR : 9.16dB c) Voltage over-scaling 50% power reduction, SNR : 2.64dB 22 Puneet Gupta, UCLA

43 Variability-aware Duty-cycling Duty Cycle = f(psleep) 0.3 Sleep Power (mw) Atmel s ARM Cortex M3-based SAM3U Embedded Processor Mani Srivastava, UCLA Temperature ( O C) 23 Puneet Gupta, UCLA

44 Adaptable Duty Cycled Tasks in TinyOS Task (pmin, pmax) Task (imin, imax) Task 24

45 Adaptable Duty Cycled Tasks in TinyOS Task Adaptable (pmin, pmax) Task Adaptable Task (imin, imax) Task Traditional Task Task allowable DC Duty Cycle Kernel Scheduler: DC = f (PA, PS,...) PA, PS,... Hardware Signature 24

46 Hardware Variability Signatures Analytic modeling of sleep power Measured vs. modeled A and B are technology-dependent constants I gl is the temperature-independent gate leakage current T is the core temperature. Parameters of calibrated models are the hardware variability signatures passed to the software stack 25

47 Comparison of DC Scheduling Methods 2 Datasheet Worst-Case Variability-Aware Value Duty Cycle (%) Time (days) Lifetime: 1 year Processor Instance #7 Battery Capacity: 850 mah Temperature Profile: Stovepipe Wells, CA,

48 Improvement over Worst-Case Duty Cycle Average: 22x improvement Improvement (x) P1 P2 P3 P4 P5 P6 P7 P8 P9 0 Instance P10 27

49 Energy Untapped by Worst-Case Duty Cycle Average: 63% energy left untapped Remaining energy (%) P1 P2 P3 P4 P5 P6 P7 0 Instance P8 P9 P10 28

50 Duty-cycling Results from a Proof-of-concept UnO Embedded Sensing Stack 10 Quality of Sensing x Current UnO Benefit (Measured) Technology (in nm) UnO Sensor with Signature Measurement and Variability Prediction Conventional Non-Adaptive Sensor 29

51 Another Example: Underdesigned Radios Source App Tx Processing RF Amp channel Rx Processing Destination App Problem: error, deadline misses, & variability error, loss & variability error, deadline misses, & variability 30

52 Another Example: Underdesigned Radios Source App Tx Processing RF Amp channel Rx Processing Destination App Problem: error, deadline misses, & variability error, loss & variability error, deadline misses, & variability Current Practice: tolerate via protocol and app level recovery 30

53 Another Example: Underdesigned Radios Source App Tx Processing RF Amp channel Rx Processing Destination App Problem: error, deadline misses, & variability error, loss & variability error, deadline misses, & variability Current Practice: over-design for no error and minimum speed tolerate via protocol and app level recovery over-design for no error and minimum speed 30

54 Another Example: Underdesigned Radios Source App Tx Processing RF Amp channel Rx Processing Destination App Problem: error, deadline misses, & variability error, loss & variability error, deadline misses, & variability Current Practice: over-design for no error and minimum speed tolerate via protocol and app level recovery over-design for no error and minimum speed tolerate computational errors, deadline misses, and performance variation tolerate computational errors, deadline misses, and performance variation 30

55 Underdesigned & Opportunistic Computing (UNO) Machines: From Crash-and-Recover to Sense-and-Adapt Do Nothing (Elastic User, Robust App) Change Hardware Operating Point (Disabling parts of the cache, Changing V-f) Change Algorithm Parameters (Codec Setting, Duty Cycle Ratio) Change Algorithm Implementation (Alternate code path, Dynamic recompilation) Change to Algorithm with Different Characteristics (Dynamic linking to new library module) sensors & models Variability signatures: -cache bit map -cpu speed-power map -memory access time -ALU error rates Underdesign Mechanisms -stochastic processor -fluid hw constraints -application intent Variability manifestations -faulty cache bits -delay variation -power variation 31

56 Underdesigned & Opportunistic Computing (UNO) Machines: From Crash-and-Recover to Sense-and-Adapt Do Nothing (Elastic User, Robust App) Change Hardware Operating Point (Disabling parts of the cache, Changing V-f) Change Algorithm Parameters (Codec Setting, Duty Cycle Ratio) Change Algorithm Implementation (Alternate code path, Dynamic recompilation) Change to Algorithm with Different Characteristics (Dynamic linking to new library ry module) sensors & models Variability signatures: -cache bit map -cpu speed-power map -memory access time -ALU error rates - Underdesign esign Mechanisms -stochastic processor -fluid hw constraints -application intent Variability manifestations -faulty cache bits -delay variation -power variation 31

57 Rakesh Kumar, UIUC E_2 HW-based Error Resilience E_1 One Step Further: Active Fault Tolerance Rx: Treating bugs as allergies (SOSP 05) In case of errors, actively changing execution environment to avoid the error-triggering allergen Different layouts Memory padding Zero-filling Different scheduling Packet sizing, etc YY Zhou, UCSD

58 Realizing the Expeditions Project Vision 33

59 Realizing the Expeditions Project Vision 33

60 Realizing the Expeditions Project Vision 34

61 Realizing the Expeditions Project Vision Testbed 1: General Purpose Computing Job queue Scheduler node 7 1.2V Workload migration Frequency (GHz) V Core ID Instrumented Flash Servers in GreenLight Datacenter [UCSD] Off-line variability characterization and Run-time hardware signature sensing[ucsd, UCLA, UIUC] Software Mechanisms for DB Querying and Map-Reduce Apps [UCSD, UCI] 34

62 Realizing the Expeditions Project Vision 34

63 Realizing the Expeditions Project Vision 35

64 Realizing the Expeditions Project Vision Testbed 2: Embedded Processing for Body Sensor Networks Sleep Power (mw) ISF based Alcohol Sensor (on Arm) Skin Temperature Skin Conductance 3- Axis Accelerometer 3 Lead ECG Respiration Band Photoplethysm ography (PPG) Temperature ( O C) Sensor Node with ARM Cortex M3 CPU with in situ Variability Sensor [UM, UCLA] Off-line variability characterization and Run-time hardware signature sensing [Stanford, UCLA, UM] OS, PL, and App Mechanisms for Distributed Sensing [UCLA, UCI, UCSD] 35

65 Realizing the Expeditions Project Vision 35

66 Realizing the Expeditions Project Vision 36

67 Realizing the Expeditions Project Vision Testbed 3: Software Radio ARM Cortex M3 CPU & Underdesigned DSP Accelerators [UM, UCLA] Off-line variability characterization and Run-time hardware signature sensing[ucla, Stanford, UM] Variability-aware GNU Radio + N/W Protocol Stack under Linux [UCLA, UCSD] 36

68 Realizing the Expeditions Project Vision 36

69 Realizing the Expeditions Project Vision 37

70 Realizing the Expeditions Project Vision Testbed 4: Mobile Computing for Multimedia Instrumented Android Smartphone [UCLA] Off-line & S/W-inference based run-time power & error variability characterization [UCLA] Variability Adaptation Mechanisms for VP8 Codec [UCI, UCLA] 37

71 Realizing the Expeditions Project Vision 37

72 Realizing the Expeditions Project Vision 38

73 Realizing the Expeditions Project Vision Outreach Physically-minded Computing COSMOS LACC... 38

74 Variability Expedition: A Paradigm Shift to Fluid HW-SW Interfaces Opportunistic SW Radical departure from hard failures to soft variability - Work through hardware variability - rather than over-designed hardware and fault-handling software - Software becomes a significant part of the solution to variability Software adapts to part as manufactured rather than as designed - opportunistically exploit application elasticity - adaptation simplifies the structure of software layers 39

75 Variability Expedition: A Paradigm Shift to Fluid HW-SW Interfaces Opportunistic SW 39

76 Variability Expedition: A Paradigm Shift to Fluid HW-SW Interfaces Opportunistic SW No rigid constraints s on hardware implementation Sense-and-adapt, rather than crash-and-recover Leverage application intent, adaptability, and error resilience 39

77 Variability Expedition: A Paradigm Shift to Fluid HW-SW Interfaces Opportunistic SW Underdesign HW 39

78 Variability Expedition: A Paradigm Shift to Fluid HW-SW Interfaces Opportunistic SW Underdesign HW Software-driven Equivalent Scaling Eliminate the last MHz Problems Overcome the Power- Performance Wall Towards Physicallyminded Computing 39

79 Variability-Aware Software for Efficient Computing with Nano-scale Devices Performance Problem: Increasing variability in nanoscale devices leading cause of overdesigned hardware. nominal scaling Goal: Re-architect the hardware-software stack Application Application Operating System Hardware Abstraction Layer (HAL) Traditional Fault-tolerance Opportunistic Software overdesigned scaling 130nm 90nm 65nm 45nm 32nm 22nm post-silicon Technology Generation minimal variability handling in hardware Time or part Underdesigned Hardware Sleep Power (mw) Frequency variation in an 80-core processor within a single die in Intel's 65nm technology Semiconductor Manufacturing Frequency (GHz) GHz 5.7 GHz V 0.8V Core ID Variation in Psleep with temperature across five instances of an ARM Cortex M3 processor Ambient Conditions Temperature ( O C) 25% 50% F/F (a.u.) Power variation across five 512 MB DDR2-533 DRAM parts [Hanson07] 2.5 Vendor Differences Max Active (idd7) Normalized frequency degradation in 65 nm due to NBTI [Zheng09] x10 3 Model RO measurement Max Idle (Idd3N) 0.5 4x10 3 6x10 3 8x Time (s) NormalizedCurrent/Power Vendor 1 Vendor 2 Vendor 3 Vendor 4 Vendor 5 Aging

A Case for Opportunistic Embedded Sensing In Presence of Hardware Power Variability

A Case for Opportunistic Embedded Sensing In Presence of Hardware Power Variability A Case for Opportunistic Embedded Sensing In Presence of Hardware Power Variability L. Wanner, C. Apte, R. Balani, Puneet Gupta, and Mani Srivastava University of California, Los Angeles puneet@ee.ucla.edu

More information

Hardware Variability-Aware Duty Cycling for Embedded Sensors

Hardware Variability-Aware Duty Cycling for Embedded Sensors 1 Hardware Variability-Aware Duty Cycling for Embedded Sensors Lucas Wanner, Charwak Apte, Rahul Balani, Puneet Gupta, and Mani Srivastava University of California, Los Angeles wanner@cs.ucla.edu, {charwak,

More information

CS4617 Computer Architecture

CS4617 Computer Architecture 1/26 CS4617 Computer Architecture Lecture 2 Dr J Vaughan September 10, 2014 2/26 Amdahl s Law Speedup = Execution time for entire task without using enhancement Execution time for entire task using enhancement

More information

ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική

ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική ΕΠΛ 605: Προχωρημένη Αρχιτεκτονική Υπολογιστών Presentation of UniServer Horizon 2020 European project findings: X-Gene server chips, voltage-noise characterization, high-bandwidth voltage measurements,

More information

CMOS Process Variations: A Critical Operation Point Hypothesis

CMOS Process Variations: A Critical Operation Point Hypothesis CMOS Process Variations: A Critical Operation Point Hypothesis Janak H. Patel Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign jhpatel@uiuc.edu Computer Systems

More information

A Case for Opportunistic Embedded Sensing In Presence of Hardware Power Variability

A Case for Opportunistic Embedded Sensing In Presence of Hardware Power Variability A Case for Opportunistic Embedded Sensing In Presence of Hardware Power Variability Lucas Wanner, Charwak Apte, Rahul Balani, Puneet Gupta, and Mani Srivastava {wanner@cs, {charwak, rahulb, puneet, mbs}@ee}.ucla.edu

More information

AN310 Energy optimization of a battery-powered device

AN310 Energy optimization of a battery-powered device Energy optimization of a battery-powered device AN 310, May 2018, V 1.0 feedback@keil.com Abstract Optimizing embedded applications for overall efficiency should be an integral part of the development

More information

Lecture 1: Introduction to Digital System Design & Co-Design

Lecture 1: Introduction to Digital System Design & Co-Design Design & Co-design of Embedded Systems Lecture 1: Introduction to Digital System Design & Co-Design Computer Engineering Dept. Sharif University of Technology Winter-Spring 2008 Mehdi Modarressi Topics

More information

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir Parallel Computing 2020: Preparing for the Post-Moore Era Marc Snir THE (CMOS) WORLD IS ENDING NEXT DECADE So says the International Technology Roadmap for Semiconductors (ITRS) 2 End of CMOS? IN THE LONG

More information

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy

Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy Semiconductor Process Reliability SVTW 2012 Esko Mikkola, Ph.D. & Andrew Levy 1 IC Failure Modes Affecting Reliability Via/metallization failure mechanisms Electro migration Stress migration Transistor

More information

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture

Overview. 1 Trends in Microprocessor Architecture. Computer architecture. Computer architecture Overview 1 Trends in Microprocessor Architecture R05 Robert Mullins Computer architecture Scaling performance and CMOS Where have performance gains come from? Modern superscalar processors The limits of

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

Architectural Core Salvaging in a Multi-Core Processor for Hard-Error Tolerance

Architectural Core Salvaging in a Multi-Core Processor for Hard-Error Tolerance Architectural Core Salvaging in a Multi-Core Processor for Hard-Error Tolerance Michael D. Powell, Arijit Biswas, Shantanu Gupta, and Shubu Mukherjee SPEARS Group, Intel Massachusetts EECS, University

More information

Ramon Canal NCD Master MIRI. NCD Master MIRI 1

Ramon Canal NCD Master MIRI. NCD Master MIRI 1 Wattch, Hotspot, Hotleakage, McPAT http://www.eecs.harvard.edu/~dbrooks/wattch-form.html http://lava.cs.virginia.edu/hotspot http://lava.cs.virginia.edu/hotleakage http://www.hpl.hp.com/research/mcpat/

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Deformation Monitoring Based on Wireless Sensor Networks

Deformation Monitoring Based on Wireless Sensor Networks Deformation Monitoring Based on Wireless Sensor Networks Zhou Jianguo tinyos@whu.edu.cn 2 3 4 Data Acquisition Vibration Data Processing Summary 2 3 4 Data Acquisition Vibration Data Processing Summary

More information

Challenges of in-circuit functional timing testing of System-on-a-Chip

Challenges of in-circuit functional timing testing of System-on-a-Chip Challenges of in-circuit functional timing testing of System-on-a-Chip David and Gregory Chudnovsky Institute for Mathematics and Advanced Supercomputing Polytechnic Institute of NYU Deep sub-micron devices

More information

The Transistor. Survey: What is Moore s Law? Survey: What is Moore s Law? Technology Unit Overview. Technology Generations

The Transistor. Survey: What is Moore s Law? Survey: What is Moore s Law? Technology Unit Overview. Technology Generations CSE 560 Computer Systems Architecture Technology Survey: What is Moore s Law? What does Moore s Law state? A. The length of a transistor halves every 2 years. B. The number of transistors on a chip will

More information

Cognitive Radio Platform Technology

Cognitive Radio Platform Technology Cognitive Radio Platform Technology Ivan Seskar Rutgers, The State University of New Jersey www.winlab.rutgers.edu seskar (at) winlab (dot) rutgers (dot) edu Complexity/Performance Tradeoffs Efficient

More information

Cherry Picking: Exploiting Process Variations in the Dark Silicon Era

Cherry Picking: Exploiting Process Variations in the Dark Silicon Era Cherry Picking: Exploiting Process Variations in the Dark Silicon Era Siddharth Garg University of Waterloo Co-authors: Bharathwaj Raghunathan, Yatish Turakhia and Diana Marculescu # Transistors Power/Dark

More information

CS649 Sensor Networks Lecture 3: Hardware

CS649 Sensor Networks Lecture 3: Hardware CS649 Sensor Networks Lecture 3: Hardware Andreas Terzis http://hinrg.cs.jhu.edu/wsn05/ With help from Mani Srivastava, Andreas Savvides Spring 2006 CS 649 1 Outline Hardware characteristics of a WSN node

More information

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop)

DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) March 2016 DATE 2016 Early Reliability Modeling for Aging and Variability in Silicon System (ERMAVSS Workshop) Ron Newhart Distinguished Engineer IBM Corporation March 19, 2016 1 2016 IBM Corporation Background

More information

The Critical Role of Firmware and Flash Translation Layers in Solid State Drive Design

The Critical Role of Firmware and Flash Translation Layers in Solid State Drive Design The Critical Role of Firmware and Flash Translation Layers in Solid State Drive Design Robert Sykes Director of Applications OCZ Technology Flash Memory Summit 2012 Santa Clara, CA 1 Introduction This

More information

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng.

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng. MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng., UCLA - http://nanocad.ee.ucla.edu/ 1 Outline Introduction

More information

QualityMonitoring. range Ease of Installation Non Intursive Ease of Installation Non Intursive. Power Quality Parameters. Modular Wireless Achitecture

QualityMonitoring. range Ease of Installation Non Intursive Ease of Installation Non Intursive. Power Quality Parameters. Modular Wireless Achitecture Power PowerQuality QualityMonitoring Monitoring Sensors Sensors with with large large measurement measurement range range Ease of Installation Non Intursive Ease of Installation Non Extensive Intursive

More information

induced Aging g Co-optimization for Digital ICs

induced Aging g Co-optimization for Digital ICs International Workshop on Emerging g Circuits and Systems (2009) Leakage power and NBTI- induced Aging g Co-optimization for Digital ICs Yu Wang Assistant Prof. E.E. Dept, Tsinghua University, China On-going

More information

LOW POWER & LOW VOLTAGE APPROXIMATION ADDERS IMPLEMENTATION FOR DIGITAL SIGNAL PROCESSING Raja Shekhar P* 1, G. Anad Babu 2

LOW POWER & LOW VOLTAGE APPROXIMATION ADDERS IMPLEMENTATION FOR DIGITAL SIGNAL PROCESSING Raja Shekhar P* 1, G. Anad Babu 2 ISSN 2277-2685 IJESR/October 2014/ Vol-4/Issue-10/666-671 Raja Shekhar P et al./ International Journal of Engineering & Science Research ABSTRACT LOW POWER & LOW VOLTAGE APPROXIMATION ADDERS IMPLEMENTATION

More information

Power of Realtime 3D-Rendering. Raja Koduri

Power of Realtime 3D-Rendering. Raja Koduri Power of Realtime 3D-Rendering Raja Koduri 1 We ate our GPU cake - vuoi la botte piena e la moglie ubriaca And had more too! 16+ years of (sugar) high! In every GPU generation More performance and performance-per-watt

More information

The Mote Revolution: Low Power Wireless Sensor Network Devices

The Mote Revolution: Low Power Wireless Sensor Network Devices The Mote Revolution: Low Power Wireless Sensor Network Devices University of California, Berkeley Joseph Polastre Robert Szewczyk Cory Sharp David Culler The Mote Revolution: Low Power Wireless Sensor

More information

Sensor Network Platforms and Tools

Sensor Network Platforms and Tools Sensor Network Platforms and Tools 1 AN OVERVIEW OF SENSOR NODES AND THEIR COMPONENTS References 2 Sensor Node Architecture 3 1 Main components of a sensor node 4 A controller Communication device(s) Sensor(s)/actuator(s)

More information

Yield-driven Robust Iterative Circuit Optimization

Yield-driven Robust Iterative Circuit Optimization Yield-driven Robust Iterative Circuit Optimization Yan Li, Vladimir Stojanovic July 29, 2009 Integrated System Group Massachusetts Institute of Technology Systems-on-chip is difficult to design Integrated

More information

On-silicon Instrumentation

On-silicon Instrumentation On-silicon Instrumentation An approach to alleviate the variability problem Peter Y. K. Cheung Department of Electrical and Electronic Engineering 18 th March 2014 U. of York How we started (in 2006)!

More information

Wireless Sensor Networks (aka, Active RFID)

Wireless Sensor Networks (aka, Active RFID) Politecnico di Milano Advanced Network Technologies Laboratory Wireless Sensor Networks (aka, Active RFID) Hardware and Hardware Abstractions Design Challenges/Guidelines/Opportunities 1 Let s start From

More information

METHODS FOR ENERGY CONSUMPTION MANAGEMENT IN WIRELESS SENSOR NETWORKS

METHODS FOR ENERGY CONSUMPTION MANAGEMENT IN WIRELESS SENSOR NETWORKS 10 th International Scientific Conference on Production Engineering DEVELOPMENT AND MODERNIZATION OF PRODUCTION METHODS FOR ENERGY CONSUMPTION MANAGEMENT IN WIRELESS SENSOR NETWORKS Dražen Pašalić 1, Zlatko

More information

On the Rules of Low-Power Design

On the Rules of Low-Power Design On the Rules of Low-Power Design (and Why You Should Break Them) Prof. Todd Austin University of Michigan austin@umich.edu A long time ago, in a not so far away place The Rules of Low-Power Design P =

More information

Practical Information

Practical Information EE241 - Spring 2013 Advanced Digital Integrated Circuits MW 2-3:30pm 540A/B Cory Practical Information Instructor: Borivoje Nikolić 509 Cory Hall, 3-9297, bora@eecs Office hours: M 11-12, W 3:30pm-4:30pm

More information

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso

Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Design and development of embedded systems for the Internet of Things (IoT) Fabio Angeletti Fabrizio Gattuso Node energy consumption The batteries are limited and usually they can t support long term tasks

More information

Practical Information

Practical Information EE241 - Spring 2010 Advanced Digital Integrated Circuits TuTh 3:30-5pm 293 Cory Practical Information Instructor: Borivoje Nikolić 550B Cory Hall, 3-9297, bora@eecs Office hours: M 10:30am-12pm Reader:

More information

Design for Reliability --

Design for Reliability -- Design for Reliability -- From Self-Test to Self-Recovery Tim Cheng Electrical and Computer Engineering University of California, Santa Barbara Increasing Failure Sources and Failure Rates design errors

More information

Towards Real-Time Volunteer Distributed Computing

Towards Real-Time Volunteer Distributed Computing Towards Real-Time Volunteer Distributed Computing Sangho Yi 1, Emmanuel Jeannot 2, Derrick Kondo 1, David P. Anderson 3 1 INRIA MESCAL, 2 RUNTIME, France 3 UC Berkeley, USA Motivation Push towards large-scale,

More information

Announcements. Advanced Digital Integrated Circuits. Midterm feedback mailed back Homework #3 posted over the break due April 8

Announcements. Advanced Digital Integrated Circuits. Midterm feedback mailed back Homework #3 posted over the break due April 8 EE241 - Spring 21 Advanced Digital Integrated Circuits Lecture 18: Dynamic Voltage Scaling Announcements Midterm feedback mailed back Homework #3 posted over the break due April 8 Reading: Chapter 5, 6,

More information

Energy Efficient Soft Real-Time Computing through Cross-Layer Predictive Control

Energy Efficient Soft Real-Time Computing through Cross-Layer Predictive Control Energy Efficient Soft Real-Time Computing through Cross-Layer Predictive Control Guangyi Cao and Arun Ravindran Department of Electrical and Computer Engineering University of North Carolina at Charlotte

More information

Intelligent and passive RFID tag for Identification and Sensing

Intelligent and passive RFID tag for Identification and Sensing Zürich University Of Applied Sciences Institute of Embedded Systems InES Intelligent and passive RFID tag for Identification and Sensing (Presented at Embedded World, Nürnberg, 3 rd March 2009) Dipl. Ing.

More information

Bluetooth Low Energy. Description. Features. Deliverables RF PHY IP

Bluetooth Low Energy. Description. Features. Deliverables RF PHY IP Description Celfras BLE provides a high performance, low power, low cost Bluetooth Low Energy (BLE) radio transceiver solution. The BLE 4.2 compliant IP is tested, qualified, and listed as Declaration

More information

EECS 473. Review etc.

EECS 473. Review etc. EECS 473 Review etc. Nice job folks Projects went well. Last groups demoed on Sunday. Due date issues Assignment 2 and the Final Report are both due today. There was some communication issues with due

More information

An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing. Rajeevan Amirtharajah University of California, Davis

An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing. Rajeevan Amirtharajah University of California, Davis An Energy Scalable Computational Array for Energy Harvesting Sensor Signal Processing Rajeevan Amirtharajah University of California, Davis Energy Scavenging Wireless Sensor Extend sensor node lifetime

More information

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS

Low Power Design Part I Introduction and VHDL design. Ricardo Santos LSCAD/FACOM/UFMS Low Power Design Part I Introduction and VHDL design Ricardo Santos ricardo@facom.ufms.br LSCAD/FACOM/UFMS Motivation for Low Power Design Low power design is important from three different reasons Device

More information

Dynamic Power Management in Embedded Systems

Dynamic Power Management in Embedded Systems Fakultät Informatik Institut für Systemarchitektur Professur Rechnernetze Dynamic Power Management in Embedded Systems Waltenegus Dargie Waltenegus Dargie TU Dresden Chair of Computer Networks Motivation

More information

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b.

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. a PGMICRO, Federal University of Rio Grande do Sul, Porto Alegre, Brazil b Institute

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

Energy-Recovery CMOS Design

Energy-Recovery CMOS Design Energy-Recovery CMOS Design Jay Moon, Bill Athas * Univ of Southern California * Apple Computer, Inc. jsmoon@usc.edu / athas@apple.com March 05, 2001 UCLA EE215B jsmoon@usc.edu / athas@apple.com 1 Outline

More information

On-chip Networks in Multi-core era

On-chip Networks in Multi-core era Friday, October 12th, 2012 On-chip Networks in Multi-core era Davide Zoni PhD Student email: zoni@elet.polimi.it webpage: home.dei.polimi.it/zoni Outline 2 Introduction Technology trends and challenges

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

NANOSCALE IMPULSE RADAR

NANOSCALE IMPULSE RADAR NANOSCALE IMPULSE RADAR NVA6X00 Impulse Radar Transceiver and Development Kit 2012.4.20 laon@laonuri.com 1 NVA6000 The Novelda NVA6000 is a single-die CMOS chip that delivers high performance, low power,

More information

Eta Compute Self-timed ARM M3 Microcontroller for Energy Harvested Applications

Eta Compute Self-timed ARM M3 Microcontroller for Energy Harvested Applications Eta Compute Self-timed ARM M3 Microcontroller for Energy Harvested Applications Agenda Motivation A New Paradigm Dial Technology Chip Architecture Measured Results Sensor Reference Design 2 Deploying Billions

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

Low Power Embedded Systems in Bioimplants

Low Power Embedded Systems in Bioimplants Low Power Embedded Systems in Bioimplants Steven Bingler Eduardo Moreno 1/32 Why is it important? Lower limbs amputation is a major impairment. Prosthetic legs are passive devices, they do not do well

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura System LSI Research Center Kyushu

More information

Increasing Performance Requirements and Tightening Cost Constraints

Increasing Performance Requirements and Tightening Cost Constraints Maxim > Design Support > Technical Documents > Application Notes > Power-Supply Circuits > APP 3767 Keywords: Intel, AMD, CPU, current balancing, voltage positioning APPLICATION NOTE 3767 Meeting the Challenges

More information

Research Statement. Sorin Cotofana

Research Statement. Sorin Cotofana Research Statement Sorin Cotofana Over the years I ve been involved in computer engineering topics varying from computer aided design to computer architecture, logic design, and implementation. In the

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK DESIGN OF LOW POWER MULTIPLIERS USING APPROXIMATE ADDER MR. PAWAN SONWANE 1, DR.

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Approximating Computation and Data for Energy Efficiency

Approximating Computation and Data for Energy Efficiency Approximating Computation and Data for Energy Efficiency Daniele Jahier Pagliari EDA Group Politecnico di Torino Torino, Italy 1st IWES September 20th, 2016, Pisa, Italy Outline Error Tolerance and Approximate

More information

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug JEDEX 2003 Memory Futures (Track 2) High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

MEMS Timing Technology: Shattering the Constraints of Quartz Timing to Improve Smartphones and Mobile Devices

MEMS Timing Technology: Shattering the Constraints of Quartz Timing to Improve Smartphones and Mobile Devices MEMS Timing Technology: Shattering the Constraints of Quartz Timing to The trends toward smaller size and increased functionality continue to dominate in the mobile electronics market. As OEMs and ODMs

More information

Pixie Location of Things Platform Introduction

Pixie Location of Things Platform Introduction Pixie Location of Things Platform Introduction Location of Things LoT Location of Things (LoT) is an Internet of Things (IoT) platform that differentiates itself on the inclusion of accurate location awareness,

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION 2 1.1 MOTIVATION FOR LOW POWER CIRCUIT DESIGN Low power circuit design has emerged as a principal theme in today s electronics industry. In the past, major concerns among researchers

More information

Introduction to co-simulation. What is HW-SW co-simulation?

Introduction to co-simulation. What is HW-SW co-simulation? Introduction to co-simulation CPSC489-501 Hardware-Software Codesign of Embedded Systems Mahapatra-TexasA&M-Fall 00 1 What is HW-SW co-simulation? A basic definition: Manipulating simulated hardware with

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Experimental Evaluation of the MSP430 Microcontroller Power Requirements

Experimental Evaluation of the MSP430 Microcontroller Power Requirements EUROCON 7 The International Conference on Computer as a Tool Warsaw, September 9- Experimental Evaluation of the MSP Microcontroller Power Requirements Karel Dudacek *, Vlastimil Vavricka * * University

More information

CP7 ORBITAL PARTICLE DAMPER EVALUATION

CP7 ORBITAL PARTICLE DAMPER EVALUATION CP7 ORBITAL PARTICLE DAMPER EVALUATION Presenters John Abel CP7 Project Lead & Head Electrical Engineer Daniel Walker CP7 Head Software Engineer John Brown CP7 Head Mechanical Engineer 2010 Cubesat Developers

More information

When to use an FPGA to prototype a controller and how to start

When to use an FPGA to prototype a controller and how to start When to use an FPGA to prototype a controller and how to start Mark Corless, Principal Application Engineer, Novi MI Brad Hieb, Principal Application Engineer, Novi MI 2015 The MathWorks, Inc. 1 When to

More information

Tackling the Battery Problem for Continuous Mobile Vision

Tackling the Battery Problem for Continuous Mobile Vision Tackling the Battery Problem for Continuous Mobile Vision Victor Bahl Robert LeKamWa (MSR/Rice), Bodhi Priyantha, Mathai Philipose, Lin Zhong (MSR/Rice) June 11, 2013 MIT Technology Review Mobile Summit

More information

MEMS On-wafer Evaluation in Mass Production Testing At the Earliest Stage is the Key to Lowering Costs

MEMS On-wafer Evaluation in Mass Production Testing At the Earliest Stage is the Key to Lowering Costs MEMS On-wafer Evaluation in Mass Production Testing At the Earliest Stage is the Key to Lowering Costs Application Note Recently, various devices using MEMS technology such as pressure sensors, accelerometers,

More information

Static Energy Reduction Techniques in Microprocessor Caches

Static Energy Reduction Techniques in Microprocessor Caches Static Energy Reduction Techniques in Microprocessor Caches Heather Hanson, Stephen W. Keckler, Doug Burger Computer Architecture and Technology Laboratory Department of Computer Sciences Tech Report TR2001-18

More information

Deadline scheduling: can your mobile device last longer?

Deadline scheduling: can your mobile device last longer? Deadline scheduling: can your mobile device last longer? Juri Lelli, Mario Bambagini, Giuseppe Lipari Linux Plumbers Conference 202 San Diego (CA), USA, August 3 TeCIP Insitute, Scuola Superiore Sant'Anna

More information

The design and calibration of low cost urban acoustic sensing devices. SONYC Sounds Of New York City

The design and calibration of low cost urban acoustic sensing devices. SONYC Sounds Of New York City The design and calibration of low cost urban acoustic sensing devices SONYC Sounds Of New York City C. Mydlarz NYU CUSP C. Shamoon NYC DEP M. Baglione, M Pimpinella The Cooper Union cmydlarz@nyu.edu Sounds

More information

Advanced Digital Design

Advanced Digital Design Advanced Digital Design Introduction & Motivation by A. Steininger and M. Delvai Vienna University of Technology Outline Challenges in Digital Design The Role of Time in the Design The Fundamental Design

More information

Datasheet. Shielded airmax ac Radio with Isolation Antenna. Model: IS-5AC. Interchangeable High-Isolation Horn Antenna. All-Metal, Shielded Radio Base

Datasheet. Shielded airmax ac Radio with Isolation Antenna. Model: IS-5AC. Interchangeable High-Isolation Horn Antenna. All-Metal, Shielded Radio Base Datasheet Shielded airmax ac Radio with Isolation Antenna Model: IS-5AC Interchangeable High-Isolation Horn Antenna All-Metal, Shielded Radio Base airmax ac Processor for Superior Performance Overview

More information

Validation & Analysis of Complex Serial Bus Link Models

Validation & Analysis of Complex Serial Bus Link Models Validation & Analysis of Complex Serial Bus Link Models Version 1.0 John Pickerd, Tektronix, Inc John.J.Pickerd@Tek.com 503-627-5122 Kan Tan, Tektronix, Inc Kan.Tan@Tektronix.com 503-627-2049 Abstract

More information

Datasheet. Shielded airmax ac Radio Base with airprism Technology. Model: PS-5AC. Proprietary Ubiquiti airmax ac Processor

Datasheet. Shielded airmax ac Radio Base with airprism Technology. Model: PS-5AC. Proprietary Ubiquiti airmax ac Processor Datasheet Shielded airmax ac Radio Base with airprism Technology Model: PS-5AC Proprietary Ubiquiti airmax ac Processor airprism Active RF Filtering Technology Dedicated Wi-Fi Radio for Management Overview

More information

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 1

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 1 Design Of Low Power Approximate Mirror Adder Sasikala.M 1, Dr.G.K.D.Prasanna Venkatesan 2 ME VLSI student 1, Vice Principal, Professor and Head/ECE 2 PGP college of Engineering and Technology Nammakkal,

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. On-Line Testing 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. On-Line Testing 2 CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina On Line Testing ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques Overview. Reliability issues

More information

Microprocessor Design in the Nanoscale Era

Microprocessor Design in the Nanoscale Era Microprocessor Design in the Nanoscale Era Stefan Rusu Senior Principal Engineer Intel Corporation IEEE Fellow stefan.rusu@intel.com 2012 Stefan Intel Rusu Corporation July 2012 1 Agenda Microprocessor

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

Tomasz Włostowski Beams Department Controls Group Hardware and Timing Section. Trigger and RF distribution using White Rabbit

Tomasz Włostowski Beams Department Controls Group Hardware and Timing Section. Trigger and RF distribution using White Rabbit Tomasz Włostowski Beams Department Controls Group Hardware and Timing Section Trigger and RF distribution using White Rabbit Melbourne, 21 October 2015 Outline 2 A very quick introduction to White Rabbit

More information

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon HKUST January 3, 2007 Merging Propagation Physics, Theory and Hardware in Wireless Ada Poon University of Illinois at Urbana-Champaign Outline Multiple-antenna (MIMO) channels Human body wireless channels

More information

RAK831 Pilot Gateway Product Specification V1.0

RAK831 Pilot Gateway Product Specification V1.0 RAK831 Pilot Gateway Product Specification V1.0 Shenzhen Rakwireless Technology Co., Ltd www.rakwireless.com info@rakwireless.com 2018 Rakwireless all rights reserved. in this document, the actual company

More information

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation Francesco Carrara 1, Calogero D. Presti 2,1, Fausto Pappalardo 1, and Giuseppe

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Data Sheet. ALM GHz 2.40 GHz 50 Watt High Power SPDT Switch with LNA Module. Features. Description. Specifications.

Data Sheet. ALM GHz 2.40 GHz 50 Watt High Power SPDT Switch with LNA Module. Features. Description. Specifications. ALM-12224 2.30 GHz 2.40 GHz 50 Watt High Power SPDT Switch with LNA Module Data Sheet Description Avago Technologies ALM-12224 is a multi-chip integrated module that comprise of a 50 Watt CW high power

More information

Optimization of Overdrive Signoff

Optimization of Overdrive Signoff Optimization of Overdrive Signoff Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li and Siddhartha Nath VLSI CAD LABORATORY, UC San Diego UC San Diego / VLSI CAD Laboratory -1- Outline Motivation Design Cone

More information