Optimizing Design Time

Size: px
Start display at page:

Download "Optimizing Design Time"

Transcription

1 Optimizing Design Time Memory Benton H. Calhoun Jan M. Rabaey

2 Role of Memory in ICs Memory is very important Focus in this chapter is embedded memory Percentage of area going to memory is increasing [Ref: V. De, Intel 2006]

3 Processor Area Becoming Memory Dominated On-chip SRAM contains 50 90% of total transistor count Xeon: 48M/110M Itanium 2: 144M/220M SRAM SRAM is a major source of chip static power dissipation Dominant in ultra low-power applications Substantial fraction in others Intel Penryn Intel Penryn (Picture courtesy of Intel )

4 Chapter Outline Introduction to Memory Architectures Power in the Cell Array Power for Read Access Power for Write Access New Memory Technologies

5 Basic Memory Structures Row address Column address Block address Block 0 Block i Block P 1 Control circuitry Block selector Global amplifier/driver Global data bus I/O [Ref: J. Rabaey, Prentice 03]

6 SRAM Metrics Functionality Data retention Readability Writability Soft Errors Area Power Why is functionality a metric? Process variations increase with scaling Large number of cells requires analysis of tails (out to 6σ or 7σ) Within-die V TH variation due to Random Dopant Fluctuations (RDFs)

7 Where Does SRAM Power Go? Numerous analytical SRAM power models Great variety in power breakdowns Different applications cause different components of power to dominate Hence: Depends on applications: e.g., high speed versus low power, portable

8 SRAM cell BL M3 M2 M1 WL Q QB M6 M5 M4 Traditional 6-Transistor (6T) SRAM cell BL Three tasks of a cell Hold data WL = 0; BLs = X Write WL = 1; BLs driven with new data Read WL = 1; BLs precharged and left floating

9 Key SRAM cell metrics BL BL Hold WL M3 M2 M1 Q QB M6 M5 M4 Key functionality metrics Hold Static Noise Margin (SNM) Data retention voltage (DRV) Read Static Noise Margin (SNM) Write Write Margin Traditional 6-Transistor (6T) SRAM cell Metrics: Area is primary constraint Next, Power, Delay

10 Static Noise Margin (SNM) BL WL BLB SNM gives a measure of the cell s stability by quantifying the DC noise required to flip the cell M2 Q M3 M1 V N V N M6 M4 M5 QB Inv 1 Inv 2 QB(V) 0.3 VTC for Inv 2 VTC 1 for Inv 1 VTC for Inv2 with V N = SNM VTC 1 for Inv1 with V N = SNM SNM 0.15 SNM is length of side of the largest embedded square on the butterfly curve Q (V) [Ref: E. Seevinck, JSSC 87]

11 Static Noise Margin with Scaling Typical cell SNM deteriorates with scaling Variations lead to failure from insufficient SNM Tech and V DD scaling lower SNM Variations worsen tail of SNM distribution (Results obtained from simulations with Predictive Technology Models [Ref: PTM; Y. Cao 00])

12 Variability: Write Margin 1 BL WL 0 1 Cell stability prior to write: BLB 0 Dominant fight (ratioed) Normalized QB Normalized Q Normalized QB Normalized QB Normalized Q Normalized Q Write failure: Positive SNM Successful write: Negative SNM

13 Variability: Cell Writability SNM (V) TT WW SS WS SW V DD = 0.6 V Write Fails Temperature ( C) Write margin limits V DD scaling for 6T cells to 600 mv, best case. 65 nm process, V DD = 0.6 V Variability and large number of cells makes this worse

14 Cell Array Power Leakage Power dominates while the memory holds data BL WL BL 0 1 Importance of Gate tunneling and GIDL depends on technology and voltages applied Sub-threshold leakage

15 Using Threshold Voltage to Reduce Leakage High-V TH cells necessary if all else is kept the same To keep leakage in 1 MB memory within bounds, V TH must be kept in V range 1 MB array retention current (A) µa 0.1 µa T L g = 0.1 μm j = 125 C 100 C W (Q T ) = 0.20 μm 75 C W (QD ) = 0.28 μm W (Q L ) = 0.18 μm 50 C 25 C high speed (0.49) low power (0.71) Average extrapolated V TH (V) at 25 C Extrapolated V TH = V TH (na /μm) V [Ref: K. Itoh, ISCAS 06]

16 Multiple Threshold Voltages BL WL BL BL WL BL 0 Dual V TH cells with low-vth access transistors provide good tradeoffs in power and delay [Ref: Hamzaoglu, et al., TVLSI 02] High V TH Use high- V TH devices to lower leakage for stored 0, which is much more common than a stored 1 Low V TH [Ref: N. Azizi, TVLSI 03]

17 Multiple Voltages Selective usage of multiple voltages in cell array e.g.,16 fa/cell at 25 C in 0.13 μm technology 1.0V WL=0V 1.0V 1.5V 0.5V High V TH to lower sub- V TH leakage Raised source, raised V DD, and lower BL reduce gate stress while maintaining SNM [Ref: K. Osada, JSSC 03]

18 Power Breakdown During Read Accessing correct cell Decoders, WL drivers For Lower Power: hierarchical WLs pulsed decoders Performing read Charge and discharge large BL capacitance For Lower Power : SAs and low BL swing Hierarchical BLs Lower BL precharge V DD_Prech WL Address Mem Sense mp Data Lower V DD May require read assist

19 Hierarchical Wordline Architecture Global word line Subglobal word line Local word line Local word line Block group select Block select Memory cell Block 0 Reduces amount of switched capacitance Saves power and lowers delay Block 1 Block select [Ref s: Rabaey, Prentice 03; T. Hirose, JSSC 90] Block 2

20 Hierarchical Bitlines Local BLs Global BLs Divide up bitlines hierarchically Many variants possible Reduces RC delay, also decreases CV 2 power Lower BL leakage seen by accessed cell

21 BL Leakage During Read Access Leakage into nonaccessed cells Raises power and delay Affects BL differential 1 0 Bit-line 0

22 Bitline Leakage Solutions 1 0 V SSWL 1 0 V SSWL V GND V G Raise V SS in cell (VGND) Negative Wordline (NWL) Hierarchical BLs Raise V SS in cell Negative WL voltage Longer access FETs Alternative bit-cells Active compensation Lower BL precharge voltage [Ref: A. Agarwal, JSSC 03]

23 Lower Precharge Voltage Lower BL precharge voltage decreases power and improves Read SNM Internal bit-cell node rises less Sharp limit due to accidental cell writing if access FET pulls internal 1 low

24 V DD Scaling Lower V DD (and other voltages) via classic voltage scaling Saves power Increases delay Limited by lost margin (read and write) Recover Read SNM with read assist Lower BL precharge Boosted cell V DD [Ref: Bhavnagarwala 04, Zhang 06] Pulsed WL and/or Write-after-Read [Ref: Khellah 06] Lower WL [Ref: Ohbayashi 06]

25 Power Breakdown During Write Accessing cell Similar to Read For Lower Power: Hierarchical WLs Performing write Address V DD_Prech Mem Cell WL Traditionally drive BLs full swing For Lower Power : Charge sharing Data dependencies Low swing BLs with amplification Data

26 Charge recycling to reduce write power Share charge between BLs or pairs of BLs Saves for consecutive write operations Need to assess overhead Basic charge recycling saves 50% power in theory BL = 0 V BLB = V DD BL = V DD /2 BLB = V DD /2 BL = BLB = V DD 0 V old values connect floating BLs disconnect and drive new values [Ref s: K. Mai, JSSC 98; G. Ming, ASICON 05]

27 Memory Statistics 0 s more common SPEC2000: 90% 0s in data SPEC2000: 85% 0s in instructions Assumed write value using inverted data as necessary [Ref: Y. Chang, ISLPED 99] New Bitcell: BL WL BL WZ 1R, 1W port W0: WZ = 0, WWL = 1, WS = 1 W1: WZ = 1, WWL = 1, WS = 0 WWL WS [Ref: Y. Chang, TVLSI 04]

28 Low-Swing Write SLC Drive the BLs with low swing Use amplification in cell to restore values WL EQ EQ WL SLC BL V DD_Prech BLB Q QB WE BL/BLB Q/QB V DD V TH delv BL VDD VTH V WR =V DD V TH ΔV BL V WR D in WE column decoder [Ref: K. Kanda, JSSC 04]

29 Write Margin Fundamental limit to most power-reducing techniques Recover write margin with write assist, e.g., Boosted WL Collapsed cell V DD [Itoh 96, Bhavnagarwala 04] Raised cell V SS [Yamaoka 04, Kanda 04] Cell with amplification [Kanda 04]

30 Non-traditional cells Key tradeoff is with functional robustness Use alternative cell to improve robustness, then trade off for power savings e.g. Remove read SNM WBL RWL WWL WBL Register file cell 1R, 1W port Read SNM eliminated Allows lower V DD 30% area overhead Robust layout 8T SRAM cell RBL [Ref: L. Chang, VLSI 05]

31 Cells with Pseudo-Static SNM Removal Isolate stored data during read Dynamic storage for duration of read BL WL BL BL WL WWL BL WLW Differential read [Ref: S. Kosonocky, ISCICT 06] WLB Single-ended read [Ref: K. Takeda, JSSC 06]

32 Emerging Devices: Double-gate MOSFET Emerging devices allow new SRAM structures Back-gate biasing of thin-body MOSFET provides improved control of short-channel effects, and re-instates effective dynamic control of V TH. Source Gate length = L G Gate Fin Width = T Si Drain Fin Height H FIN = W /2 Source Switching Gate Gate length = Lg Gate1 Drain Gate2 V TH Control Fin Height H FIN = W Double-gated (DG) MOSFET Back-gated (BG) MOSFET Independent front and back gates One switching gate and V TH control gate [Ref: Z. Guo, ISLPED 05]

33 6T SRAM Cell with Feedback Double-Gated (DG) NMOS pull-down and PMOS load devices Back-Gated (BG) NMOS access devices dynamically increase β-ratio SNM during read ~300 mv Area penalty ~ 19% PL PR 1 0 AR AL NL NR β ratio increased V sn2 (V) mv READ STANDBY 6T DG-MOS 210 mv V sn1 (V) Vsn2 (V) [Ref: Z. Guo, ISLPED 05] T BG-MOS mv READ 0.5 STANDBY mv V sn1 (V)

34 Summary and Perspectives Functionality is main constraint in SRAM Variation makes the outlying cells limiters Look at hold, read, write modes Use various methods to improve robustness, then trade off for power savings Cell voltages, thresholdsh Novel bit-cells Emerging devices Embedded memory major threat to continued technology scaling innovative solutions necessary

35 References Books and Book Chapters K. Itoh et al., Ultra-Low Voltage Nano-scale Memories, Springer A. Macii, Memory Organization for Low-Energy Embedded Systems, in Low-Power Electronics Design, C. Piguet Ed., Chapter 26, CRC Press, V. Moshnyaga and K. Inoue, Low Power Cache Design, in Low-Power Electronics Design, C., Piguet Ed., Chapter 25, CRC Press, J. Rabaey, A. Chandrakasan and B. Nikolic, Digital Integrated Circuits, Prentice Hall, T. Takahawara and K. Itoh, Memory Leakage Reduction, in Leakage in Nanometer CMOS Technologies, S. Narendra, Ed., Chapter 7, Springer Articles A. Agarwal, H. Li and K. Roy, A Single-Vt low-leakage gated-ground cache for deep submicron, IEEE Journal of Solid-State Circuits,38(2),pp , Feb N. Azizi, F. Najm and A. Moshovos, Low-leakage asymmetric-cell SRAM, IEEE Transactions on VLSI, 11(4), pp , Aug A. Bhavnagarwala, S. Kosonocky, S. Kowalczyk, R. Joshi, Y. Chan, U. Srinivasan and J. Wadhwa, A transregional CMOS SRAM with single, logic V DD and dynamic power rails, in Symposium on VLSI Circuits, pp , Y. Cao, T. Sato, D. Sylvester, M. Orshansky and C. Hu, New paradigm of predictive MOSFET and interconnect modeling for early circuit design, in Custom Integrated Circuits Conference (CICC), Oct. 2000, pp L. Chang, D. Fried, J. Hergenrother et al., Stable SRAM cell design for the 32 nm node and beyond, Symposium on VLSI Technology, pp , June Y. Chang, B. Park and C. Kyung, Conforming inverted data store for low power memory, IEEE International Symposium on Low Power Electronics and Design, 1999.

36 References (cont.) Y. Chang, F. Lai and C. Yang, Zero-aware asymmetric SRAM cell for reducing cache power in writing zero, IEEE Transactions on VLSI Systems, 12(8), pp , Aug Z. Guo, S. Balasubramanian, R. Zlatanovici, T.-J. King, and B. Nikolic, FinFET-based SRAM design, International Symposium on Low Power Electronics and Design, pp. 2 7, Aug F. Hamzaoglu, Y. Ye, A. Keshavarzi, K. Zhang, S. Narendra, S. Borkar, M. Stan, and V. De, Analysis of Dual-V T SRAM cells with full-swing single-ended bit line sensing for on-chip cache, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 10(2), pp , Apr T. Hirose, H. Kuriyama, S. Murakam, et al., A 20-ns 4-Mb CMOS SRAM with hierarchical word decoding architecture, IEEE Journal of SolidState Circuits-, 25(5) pp , Oct K. Itoh, A. Fridi, A. Bellaouar and M. Elmasry, A Deep sub-v, single power-supply SRAM cell with multi-v T, boosted storage node and dynamic load, Symposium on VLSI Circuits, 133, June K. Itoh, M. Horiguchi and T. Kawahara, Ultra-low voltage nano-scale embedded RAMs, IEEE Symposium on Circuits and Systems, May K. Kanda, H. Sadaaki and T. Sakurai, 90% write power-saving SRAM using sense-amplifying memory cell, IEEE Journal of Solid-State Circuits, 39(6), pp , June S. Kosonocky, A. Bhavnagarwala and L. Chang, International conference on solid-state and integrated circuit technology, pp , Oct K. Mai, T. Mori, B. Amrutur et al., Low-power SRAM design using half-swing pulse-mode techniques, IEEE Journal of Solid-State Circuits, 33(11) pp , Nov G. Ming, Y. Jun and X. Jun, Low Power SRAM Design Using Charge Sharing Technique, pp , ASICON, K. Osada, Y. Saitoh, E. Ibe and K. Ishibashi, 16.7-fA/cell tunnel-leakage- suppressed 16-Mb SRAM for handling cosmic-ray-induced multierrors, IEEE Journal of Solid-State Circuits, 38(11), pp , Nov PTM Predictive Models. Available: ptm

37 References (cont.) E. Seevinck, F. List and J. Lohstroh, Static noise margin analysis of MOS SRAM Cells, IEEE Journal of Solid-State Circuits, SC-22(5), pp , Oct K. Takeda, Y. Hagihara, Y. Aimoto, M. Nomura, Y. Nakazawa, T. Ishii and H. Kobatake, A readstatic-noise-margin-free SRAM cell for low-vdd and high-speed applications, IEEE International Solid-State Circuits Conference, pp , Feb M. Yamaoka, Y. Shinozaki, N. Maeda, Y. Shimazaki, K. Kato, S. Shimada, K. Yanagisawa and K. Osadal, A 300 MHz 25 µa/mb leakage on-chip SRAM module featuring process-variation immunity and low-leakage -active mode for mobile-phone application processor, IEEE International Solid-State Circuits Conference, 2004, pp

SUB-THRESHOLD digital circuit design has emerged as

SUB-THRESHOLD digital circuit design has emerged as IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 7, JULY 2006 1673 Static Noise Margin Variation for Sub-threshold SRAM in 65-nm CMOS Benton H. Calhoun, Member, IEEE, and Anantha P. Chandrakasan, Fellow,

More information

Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application

Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application Akhilesh Goyal 1, Abhishek Tomar 2, Aman Goyal 3 1PG Scholar, Department Of Electronics and communication, SRCEM Banmore, Gwalior, India

More information

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Behnam Amelifard Department of EE-Systems University of Southern California Los Angeles, CA (213)

More information

90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell

90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell 90% Write Power Saving SRAM Using Sense-Amplifying Memory Cell Kouichi Kanda 1, Hattori Sadaaki 2, and Takayasu Sakurai 3 1 Fujitsu Laboratories Ltd. 2 KDDI corporation 3 Institute of Industrial Science,

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2 Minimization of Leakage Current of 6T SRAM using Optimal Technology Sumit Kumar Srivastava 1, Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology, Uttar Pradesh Technical

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm Journal of Computer and Communications, 2015, 3, 164-168 Published Online November 2015 in SciRes. http://www.scirp.org/journal/jcc http://dx.doi.org/10.4236/jcc.2015.311026 Design and Implement of Low

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF HIGH RELIABLE 6T SRAM CELL V.Vivekanand*, P.Aditya, P.Pavan Kumar * Electronics and Communication

More information

ECE5461: Low Power SoC Design. Tae Hee Han: Semiconductor Systems Engineering Sungkyunkwan University

ECE5461: Low Power SoC Design. Tae Hee Han: Semiconductor Systems Engineering Sungkyunkwan University ECE5461: Low Power SoC Desig Tae Hee Ha: tha@skku.edu Semicoductor Systems Egieerig Sugkyukwa Uiversity Low Power SRAM Issue 2 Role of Memory i ICs Memory is very importat Focus i this lecture is embedded

More information

Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto. High Speed 64kb SRAM. ECE 4332 Fall 2013

Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto. High Speed 64kb SRAM. ECE 4332 Fall 2013 Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto High Speed 64kb SRAM ECE 4332 Fall 2013 Outline Problem Design Approach & Choices Circuit Block Architecture Novelties Layout

More information

FinFET-Based SRAM Design

FinFET-Based SRAM Design FinFET-Based SRAM Design Zheng Guo, Sriram Balasubramanian, Radu Zlatanovici, Tsu-Jae King, Borivoje Nikolić Department of Electrical Engineering and Computer Sciences, University of California, Berkeley,

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology

Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology Vipul Bhatnagar, Pradeep Kumar and Sujata Pandey Amity School of Engineering and Technology, Amity University Uttar Pradesh, Noida, INDIA

More information

8T-SRAM Cell with Improved Read and Write Margins in 65 nm CMOS Technology

8T-SRAM Cell with Improved Read and Write Margins in 65 nm CMOS Technology 8T-SRAM Cell with Improved Read and Write Margins in 65 nm CMOS Technology Farshad Moradi (&), Mohammad Tohidi, Behzad Zeinali, and Jens K. Madsen Integrated Circuits and Electronics Laboratory, Department

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

Study of SRAM Cell for Balancing Read and Write Margins in Sub-100nm Technology using Noise-Curve Method

Study of SRAM Cell for Balancing Read and Write Margins in Sub-100nm Technology using Noise-Curve Method Study of SRAM Cell for Balancing Read and Write Margins in Sub-100nm Technology using Noise-Curve Method Malleshaiah G. V Department of Electronics and Communication Engineering, Eastpoint College of Engineering

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

FINFET BASED SRAM DESIGN FOR LOW POWER APPLICATIONS

FINFET BASED SRAM DESIGN FOR LOW POWER APPLICATIONS FINFET BASED SRAM DESIGN FOR LOW POWER APPLICATIONS SHRUTI OZA BVU College of Engineering, Pune-43 E-mail: Shruti.oza11@gmail.com Abstract- Industry demands Low-Power and High- Performance devices now-a-days.

More information

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger International Journal of Scientific and Research Publications, Volume 5, Issue 2, February 2015 1 Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger Dr. A. Senthil Kumar *,I.Manju **,

More information

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies A High Performance IDDQ Testable Cache for Scaled CMOS Technologies Swarup Bhunia, Hai Li and Kaushik Roy Purdue University, 1285 EE Building, West Lafayette, IN 4796 {bhunias, hl, kaushik}@ecn.purdue.edu

More information

Performance of Low Power SRAM Cells On SNM and Power Dissipation

Performance of Low Power SRAM Cells On SNM and Power Dissipation Performance of Low Power SRAM Cells On SNM and Power Dissipation Kanika Kaur 1, Anurag Arora 2 KIIT College of Engineering, Gurgaon, Haryana, INDIA Abstract: Over the years, power requirement reduction

More information

SNM Analysis of 6T SRAM at 32NM and 45NM Technique

SNM Analysis of 6T SRAM at 32NM and 45NM Technique SNM Analysis of 6T SRAM at 32NM and 45NM Technique Anurag Dandotiya ITM Universe Gwalior Amit S. Rajput Assistant Professor ITM Universe Gwalior OBJECTIVE OF THE CHAPTER In this paper we analyze the effect

More information

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Sumit Kumar Srivastavar 1, Er.Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology,

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

Performance analysis of Modified SRAM Memory Design using leakage power reduction

Performance analysis of Modified SRAM Memory Design using leakage power reduction Performance analysis of Modified Memory Design using leakage power reduction 1 Udaya Bhaskar Pragada, 2 J.S.S. Rama Raju, 3 Mahesh Gudivaka 1 PG Student, 2 Associate Professor, 3 Assistant Professor 1

More information

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 1 ME, Dept. Of Electronics And Telecommunication,PREC, Maharashtra, India 2 Associate Professor,

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems

Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems Jawar Singh, Jimson Mathew, Saraju P. Mohanty and Dhiraj K. Pradhan Department of Computer Science, University of Bristol,

More information

VARIATION-TOLERANT SUB-THRESHOLD SRAM CELL DESIGN TECHNIQUE

VARIATION-TOLERANT SUB-THRESHOLD SRAM CELL DESIGN TECHNIQUE VOL. 1, NO. 8, MAY 215 ISSN 1819-668 26-215 Asian Research Publishing Network (ARPN). All rights reserved. VARIATION-TOLERANT SUB-THRESHOLD SRAM CELL DESIGN TECHNIQUE Soumitra Pal, Malreddy Shekar Reddy

More information

Subthreshold SRAM Design for Energy Efficient Applications in Nanometric CMOS Technologies

Subthreshold SRAM Design for Energy Efficient Applications in Nanometric CMOS Technologies Subthreshold SRAM Design for Energy Efficient Applications in Nanometric CMOS Technologies by Morteza Nabavi A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

Charge recycling 8T SRAM design for low voltage robust operation

Charge recycling 8T SRAM design for low voltage robust operation Southern Illinois University Carbondale OpenSIUC Articles Department of Electrical and Computer Engineering Spring --0 Charge recycling T SRAM design for low voltage robust operation Xu Wang Shanghai Jiaotong

More information

Variability in Sub-100nm SRAM Designs

Variability in Sub-100nm SRAM Designs Variability in Sub-100nm SRAM Designs Ray Heald & Ping Wang Sun Microsystems Ray Heald & Ping Wang ICCAD 2004 Variability in Sub-100nm SRAM Designs 11/9/04 1 Outline Background: Quick review of what is

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

Deependra Singh Rajput *, Manoj Kumar Yadav **, Pooja Johri #, Amit S. Rajput ##

Deependra Singh Rajput *, Manoj Kumar Yadav **, Pooja Johri #, Amit S. Rajput ## SNM Analysis During Read Operation Of 7T SRAM Cells In 45nm Technology For Increase Cell Stability Deependra Singh Rajput *, Manoj Kumar Yadav **, Pooja Johri #, Amit S. Rajput ## * (M.E. (CCN), MPCT,

More information

Dynamic Noise Margin Analysis of a Low Voltage Swing 8T SRAM Cell for Write Operation

Dynamic Noise Margin Analysis of a Low Voltage Swing 8T SRAM Cell for Write Operation International Journal of Signal Processing Systems Vol. 1, No. 2 December 2013 Dynamic Noise Margin Analysis of a Low Voltage Swing 8T SRAM Cell for Write Operation P. Upadhyay ECE Department, Maharishi

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

A Robust Low Power Static Random Access Memory Cell Design

A Robust Low Power Static Random Access Memory Cell Design Wright State University CORE Scholar Browse all Theses and Dissertations Theses and Dissertations 2018 A Robust Low Power Static Random Access Memory Cell Design A. V. Rama Raju Pusapati Wright State University

More information

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits Research Journal of Applied Sciences, Engineering and Technology 5(10): 2991-2996, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: September 16, 2012 Accepted:

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Low Power SRAM with Boost Driver Generating Pulsed Word Line Voltage for Sub-1V Operation

Low Power SRAM with Boost Driver Generating Pulsed Word Line Voltage for Sub-1V Operation 34 JOURNAL OF COMPUTERS, VOL. 3, NO. 5, MAY 2008 Low Power SRAM with Boost Driver Generating Pulsed Word Line Voltage for Sub-1V Operation Masaaki Iijima, Kayoko Seto, Masahiro Numa Kobe University 1-1

More information

MULTI-PORT MEMORY DESIGN FOR ADVANCED COMPUTER ARCHITECTURES. by Yirong Zhao Bachelor of Science, Shanghai Jiaotong University, P. R.

MULTI-PORT MEMORY DESIGN FOR ADVANCED COMPUTER ARCHITECTURES. by Yirong Zhao Bachelor of Science, Shanghai Jiaotong University, P. R. MULTI-PORT MEMORY DESIGN FOR ADVANCED COMPUTER ARCHITECTURES by Yirong Zhao Bachelor of Science, Shanghai Jiaotong University, P. R. China, 2011 Submitted to the Graduate Faculty of the Swanson School

More information

SUBTHRESHOLD logic circuits are becoming increasingly

SUBTHRESHOLD logic circuits are becoming increasingly 518 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 2, FEBRUARY 2008 A 0.2 V, 480 kb Subthreshold SRAM With 1 k Cells Per Bitline for Ultra-Low-Voltage Computing Tae-Hyoung Kim, Student Member, IEEE,

More information

Digital Timing Control in SRAMs for Yield Enhancement and Graceful Aging Degradation

Digital Timing Control in SRAMs for Yield Enhancement and Graceful Aging Degradation Digital Timing Control in SRAMs for Yield Enhancement and Graceful Aging Degradation by Adam Neale A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for the degree

More information

A Novel Technique to Reduce Write Delay of SRAM Architectures

A Novel Technique to Reduce Write Delay of SRAM Architectures A Novel Technique to Reduce Write Delay of SRAM Architectures SWAPNIL VATS AND R.K. CHAUHAN * Department of Electronics and Communication Engineering M.M.M. Engineering College, Gorahpur-73 010, U.P. INDIA

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Kurukshetra University, Kurukshetra, India

Kurukshetra University, Kurukshetra, India Volume 3, Issue 8, August 2013 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Reliability Aware

More information

A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories

A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories Wasim Hussain A Thesis In The Department of Electrical and Computer Engineering Presented in Partial Fulfillment of the Requirements

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

SRAM Read-Assist Scheme for Low Power High Performance Applications

SRAM Read-Assist Scheme for Low Power High Performance Applications SRAM Read-Assist Scheme for Low Power High Performance Applications Ali Valaee A Thesis In the Department of Electrical and Computer Engineering Presented in Partial Fulfillment of the Requirements for

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Jyoti Sharma 1, Rajesh Parihar 2 1 M.Tech Scholar, CBSGIs, Jhajjar

Jyoti Sharma 1, Rajesh Parihar 2 1 M.Tech Scholar, CBSGIs, Jhajjar Design and Analysis of Low Power High Speed Current Latch Sense Amplifier Jyoti Sharma 1, Rajesh Parihar 2 1 M.Tech Scholar, CBSGIs, Jhajjar 2 Asst. Professor, H.O.D., ECE/EE, CBSGIs, Jhajjar Abstract-

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

Design and Implementation of High Speed Sense Amplifier for Sram

Design and Implementation of High Speed Sense Amplifier for Sram American-Eurasian Journal of Scientific Research 12 (6): 320-326, 2017 ISSN 1818-6785 IDOSI Publications, 2017 DOI: 10.5829/idosi.aejsr.2017.320.326 Design and Implementation of High Speed Sense Amplifier

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM V. Karthikeyan 1 1 Department of ECE, SVSCE, Coimbatore, Tamilnadu, India, Karthick77keyan@gmail.com

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Kyung Ki Kim a) and Yong-Bin Kim b) Department of Electrical and Computer Engineering, Northeastern University, Boston, MA

More information

Practical Information

Practical Information EE241 - Spring 2010 Advanced Digital Integrated Circuits TuTh 3:30-5pm 293 Cory Practical Information Instructor: Borivoje Nikolić 550B Cory Hall, 3-9297, bora@eecs Office hours: M 10:30am-12pm Reader:

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

Design For Test Technique for Leakage Power Reduction in Nanoscale Static Random Access Memory

Design For Test Technique for Leakage Power Reduction in Nanoscale Static Random Access Memory Journal of Computer Science 7 (8): 1252-1260, 2011 ISSN 1549-3636 2011 Science Publications Design For Test Technique for Leakage Power Reduction in Nanoscale Static Random Access Memory N.M. Sivamangai

More information

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design Anu Tonk Department of Electronics Engineering, YMCA University, Faridabad, Haryana tonkanu.saroha@gmail.com Shilpa Goyal

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

CONTROLLING STATIC POWER LEAKAGE IN 7T SRAM CELL USING POWER GATING TECHNIQUE

CONTROLLING STATIC POWER LEAKAGE IN 7T SRAM CELL USING POWER GATING TECHNIQUE CONTROLLING STATIC POWER LEAKAGE IN 7T SRAM CELL USING POWER GATING TECHNIQUE Mr.T.Mani 1, P.Praveen 2, P.Soundararajan 3, M.Suresh 4, D.Prakash 5 1 (Assistant professor, Department of ECE, Jay shriram

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

Leakage Diminution of Adder through Novel Ultra Power Gating Technique

Leakage Diminution of Adder through Novel Ultra Power Gating Technique Leakage Diminution of Adder through Novel Ultra Power Gating Technique Aushi Marwah; Prof. Meenakshi Mishra ShriRam College of Engineering & Management, Banmore Abstract: Technology scaling helps us to

More information

SUB-THRESHOLD and near-threshold operation have become

SUB-THRESHOLD and near-threshold operation have become IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 11, NOVEMBER 2011 2713 A 250 mv 8 kb 40 nm Ultra-Low Power 9T Supply Feedback SRAM (SF-SRAM) Adam Teman, Student Member, IEEE, Lidor Pergament, Omer Cohen,

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

Energy-Recovery CMOS Design

Energy-Recovery CMOS Design Energy-Recovery CMOS Design Jay Moon, Bill Athas * Univ of Southern California * Apple Computer, Inc. jsmoon@usc.edu / athas@apple.com March 05, 2001 UCLA EE215B jsmoon@usc.edu / athas@apple.com 1 Outline

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

Single-Supply 3T Gain-Cell for Low-Voltage Low-Power Applications

Single-Supply 3T Gain-Cell for Low-Voltage Low-Power Applications 358 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 24, NO. 1, JANUARY 2016 Single-Supply 3T Gain-Cell for Low-Voltage Low-Power Applications Robert Giterman, Adam Teman, Pascal

More information

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates R Ravikumar Department of Micro and Nano Electronics, VIT University, Vellore, India ravi10ee052@hotmail.com

More information

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage:

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Email:

More information

EEC 118 Lecture #12: Dynamic Logic

EEC 118 Lecture #12: Dynamic Logic EEC 118 Lecture #12: Dynamic Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Today: Alternative MOS Logic Styles Dynamic MOS Logic Circuits: Rabaey

More information

Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM

Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM Swaroop Ghosh, Saibal Mukhopadhyay, Keejong Kim, and, Kaushik Roy School of Electrical and Computer Engineering,

More information

0.5-V sub-ns open-bl SRAM array with mid-point-sensing multi-power 5T cell

0.5-V sub-ns open-bl SRAM array with mid-point-sensing multi-power 5T cell 0.5-V sub-ns open-bl SRAM array with mid-point-sensing multi-power 5T cell Kiyoo Itoh, Khaja Ahmad Shaik, Amara Amara To cite this version: Kiyoo Itoh, Khaja Ahmad Shaik, Amara Amara. 0.5-V sub-ns open-bl

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #8: Leakage Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: Low Power Interconnect Finish Lecture 7 Leakage Mechanisms Circuit Styles for Low Leakage

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

19. Design for Low Power

19. Design for Low Power 19. Design for Low Power Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 November 8, 2017 ECE Department, University of Texas at

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Practical Information

Practical Information EE241 - Spring 2013 Advanced Digital Integrated Circuits MW 2-3:30pm 540A/B Cory Practical Information Instructor: Borivoje Nikolić 509 Cory Hall, 3-9297, bora@eecs Office hours: M 11-12, W 3:30pm-4:30pm

More information