SNM Analysis of 6T SRAM at 32NM and 45NM Technique

Size: px
Start display at page:

Download "SNM Analysis of 6T SRAM at 32NM and 45NM Technique"

Transcription

1 SNM Analysis of 6T SRAM at 32NM and 45NM Technique Anurag Dandotiya ITM Universe Gwalior Amit S. Rajput Assistant Professor ITM Universe Gwalior OBJECTIVE OF THE CHAPTER In this paper we analyze the effect of SNM dependent on different parameter in read mode and write mode. We analyze SNM of different modulation like cell ratio (CR), voltage supply (Vdd), word line (WL) and bit line (BL) by spice tools using BPTM Low Power model in different technologies. We define the read margin to characterize the SRAM cells read stability. Many researchers use only 45nm technology, but we are scaling down the technologies which is more stability for the circuit. Actually stability of SRAM cell only depends on the static noise margin (SNM) and SNM is effect the stability of SRAM cell during read operation of SRAM cells. 1. BACKGROUND AND RELATED WORK SRAMs, are widely used in electronic systems [1]. SRAM cell read stability are major concerns in CMOS technologies. Actually stability of SRAM cell only depends on the static noise margin (SNM). Till now we have been using only 45nm technology, which is Welsh for stability and performance of cell. We continue to scale down the device dimension because device should be small [2] [8]. Now a days circuit designer has focused on lower supply voltage, but lower supply voltage can reduce the static noise margin and static noise margin is proportional to the performance of SRAM cell so performance of SRAM cell is also reduced. In this project we scaled down the technology and variation of different parameter such as cell ratio (CR), voltage supply (Vdd), word line (WL) and bit line (BL). It has been most beneficial of performance of cell and size will reduced as much as possible. 2. METHODOLOGY AND IMPLEMENTATION 2.1 Static Noise Margin and Derivation Static noise margin of SRAM cell depends on the cell ratio (CR) [9] supply voltage [10] and pull up ratio [11]. High value of SNM is required for the high stability of SRAM cell. Both read margin and write margin are also affected by the static noise margin of SRAM cell. In this section, Butterfly method for measuring static noise margin is introduced. It is the maximum amount of noise voltage that can be tolerated in 6T SRAM cell while still maintaining the correct In this section, Butterfly method for measuring static noise margin is introduced. It is the maximum amount of noise voltage that can be tolerated in 6T SRAM cell while still maintaining the correct. The two output curves are rotated according to X-Y coordinates which results in butterfly structure [3] The CMOS model which is use to calculate SNM I D - ) 2 I D V DS GS -V T - V DS ) In the saturated and linear regions, respectively SNM 6T = V T - ( ) { Where BL-bar Vdd BL r = ratio = WL q = M5 M3 M4 WL V T = threshold voltage C BL_bar Q_ bar Q M6 k = ({ -1} M1 M2 Vs = V DD -V T C BL V r = V s -( )V T Figure 1. 6T SRAM cell 30

2 Vdd modulation during read mode-,cr=3,bl=1,blb=1 Figure 2. Calculation of snm after rotation 2.2 Cell Ratio (Cr) In this section static noise margin is calculated by varying the cell ratio of transistors. Cell ratio is the ratio of sizes of driver transistor to the access transistor. As the cell ratio increases by increasing the size of driver transistor, Static noise margin of memory cell also increases which results in increase of current in a memory cell [4]. Cell Ratio (CR)= (W1/L1) / (W6/L6) 2.3 Pull Up Ratio (Pr) In this section, static noise margin is calculated by varying the Pull up ratio of transistors. Pull up ratio is the ratio of sizes of load transistor to the access transistor. As the Pull up ratio increases by increasing the size of driver transistor, Static noise margin of memory cell also increases which results in increase of current in a memory cell [4]. Pull up Ratio (PR) = (W4/L4) / (W5/L5) 3. RESULTS & DISSCUSSION SNM DEPENDENCES This section explores the different parameters on which the SNM is dependent. The factor are supply voltage (Vdd), cell ratio (CR), word line (WL), and bit line(bl) read and write condition. 3.1 SNM DEPENDENCES ON Vdd The 32nm and 45nm dependent of supply voltage (Vdd). We use PTM Low Power model to determine SNM of these technology on spice tools from [5]. Vdd modulation table and graph shown in below Figure 3. Output waveform of Snm at different voltage at read mode Vdd 45nm 32nm E E E E E E E E E E E E E E E E E E-01 Vdd modulation during write mode-,cr=3,bl=blb=wl=vdd Vdd 45nm 32nm E E E E E E E E E E E E E E E E-01 Figure 4. Output waveform of Snm at different voltage at write mode E E-01 31

3 3.2 SNM Dependences on Cell Ratio (CR) The cell ratio has very little impact on SNM during Sub threshold read. The CR modulation on 32nm and 45nm to finding SNM on read and write condition. These effects depend on the technology and make the general SNM modeling more complicated see [5,6]. CR 45nm 32nm E E E E E E E E E E E E-01 CR efect on SNM during read Mode- BL =1,V DD=1,WL1,CR= Figure 6. Output waveform CR efect on SNM during write Mode 3.3 SNM Dependences on Word Line (WL) We modulate word line to finding snm of these technology. WL(V) 45nm 32nm E E E E E E E E E E E E E E E E-01 Figure 5. Output waveform CR efect on SNM during read Mode CR 45nm 32nm E E-01 World line modulation during read mode- V DD=1,CR=3,BL=1,BLB= E E E E E E E E E E E E-01 Effect of CR on SNM During write Mode- BL=0,VDD=1,WL=0 BLB=1,CR=3 Figure 7. Output waveform World line modulation during read mode 32

4 WL(V) 45nm 32nm Bit Line Modulation During Read Mode- VDD=1,CR=3,WL= E E E E E E E E E E E E E E E E E E E E-01 World line modulation during write mode- V DD=1,CR=3,BL=1,BLB=0 Figure 9. output waveform bit line modulation during read mode BL(V) 45nm 32nm E E E E E E E E E E E E E E-01 Figure 8. output waveform World line modulation during write mode 3.4 SNM DEPENDENCES ON BIT LINE All of the above technique finding snm by modulation of bitline (BL) in read and write mode.table and graph shown in below: E E E E E E-01 Bit Line Modulation During write Mode- V DD=1,CR=3,WL=1 BLB=0 BL(V) 45nm 32nm E E E E E E E E E E E E E E E E E E E E-01 Figure10. output waveform bit line modulation during write mode 33

5 4. INTERPRETATIONS Read and Write Ability of 6T SRM Cell There are three types of mode of SRAM cell are read mode, write mode and hold mode. In the hold mode word line (WL) should be 0. In order to hold its data properly, the crosscoupled two inverters in the cell must sustain bi-stable operating points. From [14] the SNM is a common measure of the ability for the cell inverters to maintain their state. The SNM equals the minimum noise voltage present at each of the data storage nodes necessary to flip state of the cell. The Conventional 6T SRAM cell is more sensitive to noise during read mode. When the cell is in the read mode, the WL is connected to Vdd while the BL and BLB are precharged to Vdd. The data 0 store node rise to a certain voltage higher than the ground, according to the voltage dividing across the driver transistor and access transistor. 5. CONCLUSION In this paper, we have analyzed SNM during read state and write state modulation of cell ratio, voltage supply,word line and bitline in 22nm,32nm and 45nm. As the different technique is now a days a prime concern in the realization of the performance of a CMOS circuit. In this paper SNM of SRAM dependences various parameter in read and write mode. 6. REFRENCE [1] Chua-Chin Wang, Po-Ming Lee, and Kuo-Long Chen An SRAM Design Using Dual Threshold Voltage Transistors and Low-Power Quenchers IEEE journal of solid-state circuits, vol. 38, no. 10, october [2] Chris Hyung-il Kim, Jae-Joon Kim, Student Member, IEEE, Saibal Mukhopadhyay, Student Member, IEEE, and Kaushik Roy, Fellow, IEEEA Forward Body-Biased Low- Leakage SRAM Cache: Device, Circuit and Architecture Considerations IEEE transactions on very large scale integration (vlsi) systems, vol. 13, no. 3, march 2005 [3] Shilpi Birla, R.K.Singh, Member IACSIT, and Manisha Pattnaik, Static Noise Margin Analysis of Various SRAM Topologies, IACSIT International Journal of Engineering and Technology, Vol.3, No.3, June [4] Andrei Pavlov & Manoj Sachdev, CMOS SRAM Circuit Design and Parametric Test in Nano-Scaled Technologies. Intel Corporation, University of Waterloo, 2008 Springer Science and Business Media B.V., pp: [5] Benton H. Calhoun Anantha P. Chandrakasan Static Noise Margin Variation for Sub-threshold SRAM in 65 nm CMOS, Solid-State Circuits, IEEE Journal vol. 41, Jan.2006, Issue 7, pp [6] Koichi Takeda et al, A Read Static Noise Margin Free SRAM cell for Low Vdd and High Speed Applications, Solidvol. 41, Jan.2006, Issue 1, pp [7] Simran Kaur, Ashwani Kumar Analysis of Low Power SRAM Memory Cell using Tanner Tool IJECT Vol. 3, Issue 1, Jan. - March 2012 [8] Kevin Zhang, Member, IEEE, Uddalak Bhattacharya, Zhanping Chen, Member, IEEE, Fatih Hamzaoglu, Daniel Murray, Narendra Vallepalli, Member, IEEE, Yih Wang, Member, IEEE, B. Zheng, and Mark Bohr, Fellow, IEEE SRAM Design on 65-nm CMOS Technology With Dynamic Sleep Transistor for Leakage Reduction IEEE journal of solid-state circuits, vol. 40, no. 4, april [9] Benton H. Calhoun Anantha P. Chandrakasan, Analyzing Static Noise Margin for Sub-threshold SRAM in 65nm CMOS,ESSCIRC,2005 [10] Rajshekhar Keerthi, Henry Chen, Stability and Static Noise margin analysis of low power SRAM IEEE International Instrumentation & Measurement Technology Conference, Victoria Canada, May 2008,pp IJCA TM : 34

Deependra Singh Rajput *, Manoj Kumar Yadav **, Pooja Johri #, Amit S. Rajput ##

Deependra Singh Rajput *, Manoj Kumar Yadav **, Pooja Johri #, Amit S. Rajput ## SNM Analysis During Read Operation Of 7T SRAM Cells In 45nm Technology For Increase Cell Stability Deependra Singh Rajput *, Manoj Kumar Yadav **, Pooja Johri #, Amit S. Rajput ## * (M.E. (CCN), MPCT,

More information

Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application

Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application Akhilesh Goyal 1, Abhishek Tomar 2, Aman Goyal 3 1PG Scholar, Department Of Electronics and communication, SRCEM Banmore, Gwalior, India

More information

Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology

Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology Analysis of SRAM Bit Cell Topologies in Submicron CMOS Technology Vipul Bhatnagar, Pradeep Kumar and Sujata Pandey Amity School of Engineering and Technology, Amity University Uttar Pradesh, Noida, INDIA

More information

Analysis of the Effect of Temperature and V dd on Leakage Current in Conventional 6T-SRAM Bit-Cell at 90nm and 65nm Technology

Analysis of the Effect of Temperature and V dd on Leakage Current in Conventional 6T-SRAM Bit-Cell at 90nm and 65nm Technology Analysis of the Effect of Temperature and V dd on Leakage Current in Conventional 6T-SRAM Bit-Cell at 90nm and 65nm Technology Neeraj Kr.Shukla Deptt. of EECE ITM University Gurgaon, India R.K.Singh Deptt.

More information

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger International Journal of Scientific and Research Publications, Volume 5, Issue 2, February 2015 1 Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger Dr. A. Senthil Kumar *,I.Manju **,

More information

A Novel Technique to Reduce Write Delay of SRAM Architectures

A Novel Technique to Reduce Write Delay of SRAM Architectures A Novel Technique to Reduce Write Delay of SRAM Architectures SWAPNIL VATS AND R.K. CHAUHAN * Department of Electronics and Communication Engineering M.M.M. Engineering College, Gorahpur-73 010, U.P. INDIA

More information

Performance of Low Power SRAM Cells On SNM and Power Dissipation

Performance of Low Power SRAM Cells On SNM and Power Dissipation Performance of Low Power SRAM Cells On SNM and Power Dissipation Kanika Kaur 1, Anurag Arora 2 KIIT College of Engineering, Gurgaon, Haryana, INDIA Abstract: Over the years, power requirement reduction

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

Performance analysis of Modified SRAM Memory Design using leakage power reduction

Performance analysis of Modified SRAM Memory Design using leakage power reduction Performance analysis of Modified Memory Design using leakage power reduction 1 Udaya Bhaskar Pragada, 2 J.S.S. Rama Raju, 3 Mahesh Gudivaka 1 PG Student, 2 Associate Professor, 3 Assistant Professor 1

More information

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF HIGH RELIABLE 6T SRAM CELL V.Vivekanand*, P.Aditya, P.Pavan Kumar * Electronics and Communication

More information

Design and Analysis of a Novel Low-Power SRAM Bit-Cell Structure at Deep-Sub-Micron CMOS Technology for Mobile Multimedia Applications

Design and Analysis of a Novel Low-Power SRAM Bit-Cell Structure at Deep-Sub-Micron CMOS Technology for Mobile Multimedia Applications Design and Analysis of a Novel Low-Power SRAM Bit- Structure at Deep-Sub-Micron CMOS Technology for Mobile Multimedia Applications Neeraj Kr. Shukla E&CE Department ITM University, Gurgaon Haryana (India)

More information

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2 Minimization of Leakage Current of 6T SRAM using Optimal Technology Sumit Kumar Srivastava 1, Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology, Uttar Pradesh Technical

More information

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 1 ME, Dept. Of Electronics And Telecommunication,PREC, Maharashtra, India 2 Associate Professor,

More information

Study of SRAM Cell for Balancing Read and Write Margins in Sub-100nm Technology using Noise-Curve Method

Study of SRAM Cell for Balancing Read and Write Margins in Sub-100nm Technology using Noise-Curve Method Study of SRAM Cell for Balancing Read and Write Margins in Sub-100nm Technology using Noise-Curve Method Malleshaiah G. V Department of Electronics and Communication Engineering, Eastpoint College of Engineering

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems

Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems Single Ended Static Random Access Memory for Low-V dd, High-Speed Embedded Systems Jawar Singh, Jimson Mathew, Saraju P. Mohanty and Dhiraj K. Pradhan Department of Computer Science, University of Bristol,

More information

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Sumit Kumar Srivastavar 1, Er.Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology,

More information

LEAKAGE POWER REDUCTION TECHNIQUE IN CMOS CIRCUIT: A STATE-OF-THE-ART REVIEW

LEAKAGE POWER REDUCTION TECHNIQUE IN CMOS CIRCUIT: A STATE-OF-THE-ART REVIEW IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 4, Ver. I (Jul - Aug. 2015), PP 31-36 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org LEAKAGE POWER REDUCTION TECHNIQUE

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

Design and Simulation Low power SRAM Circuits

Design and Simulation Low power SRAM Circuits IJSRD - International Journal for Scientific Research & Development Vol. 1, Issue 2, 2013 ISSN (online): 2321-0613 Design and Simulation Low power SRAM Circuits Garima Jain 1 1 Research Scholar 1 Mewar

More information

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform

More information

Jyoti Sharma 1, Rajesh Parihar 2 1 M.Tech Scholar, CBSGIs, Jhajjar

Jyoti Sharma 1, Rajesh Parihar 2 1 M.Tech Scholar, CBSGIs, Jhajjar Design and Analysis of Low Power High Speed Current Latch Sense Amplifier Jyoti Sharma 1, Rajesh Parihar 2 1 M.Tech Scholar, CBSGIs, Jhajjar 2 Asst. Professor, H.O.D., ECE/EE, CBSGIs, Jhajjar Abstract-

More information

A Novel Switched Capacitor Technique for NBTI Tolerant Low Power 6T-SRAM Cell Design

A Novel Switched Capacitor Technique for NBTI Tolerant Low Power 6T-SRAM Cell Design IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. III (Mar-Apr. 2014), PP 68-75 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Switched Capacitor Technique for NBTI Tolerant

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET

Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Microelectronics and Solid State Electronics 2013, 2(2): 24-28 DOI: 10.5923/j.msse.20130202.02 Sub-threshold Leakage Current Reduction Using Variable Gate Oxide Thickness (VGOT) MOSFET Keerti Kumar. K

More information

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies A High Performance IDDQ Testable Cache for Scaled CMOS Technologies Swarup Bhunia, Hai Li and Kaushik Roy Purdue University, 1285 EE Building, West Lafayette, IN 4796 {bhunias, hl, kaushik}@ecn.purdue.edu

More information

Kurukshetra University, Kurukshetra, India

Kurukshetra University, Kurukshetra, India Volume 3, Issue 8, August 2013 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Reliability Aware

More information

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS 87 CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS 6.1 INTRODUCTION In this approach, the four types of full adders conventional, 16T, 14T and 10T have been analyzed in terms of

More information

Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto. High Speed 64kb SRAM. ECE 4332 Fall 2013

Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto. High Speed 64kb SRAM. ECE 4332 Fall 2013 Team VeryLargeScaleEngineers Robert Costanzo Michael Recachinas Hector Soto High Speed 64kb SRAM ECE 4332 Fall 2013 Outline Problem Design Approach & Choices Circuit Block Architecture Novelties Layout

More information

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology Shyam Sundar Sharma 1, Ravi Shrivastava 2, Nikhil Saxenna 3 1Research Scholar Dept. of ECE, ITM,

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Analysis and Simulation of Subthreshold Leakage Current Reduction in IP3 SRAM Bit-Cell at 45 nm CMOS Technology for Multimedia Applications

Analysis and Simulation of Subthreshold Leakage Current Reduction in IP3 SRAM Bit-Cell at 45 nm CMOS Technology for Multimedia Applications Analysis and Simulation of Subthreshold Leakage Current Reduction in IP3 SRAM Bit-Cell at 45 nm CMOS Technology for Multimedia Applications Manisha Pattanaik, Neeraj Kr. Shukla, and R. K. Singh, Member,

More information

VARIATION-TOLERANT SUB-THRESHOLD SRAM CELL DESIGN TECHNIQUE

VARIATION-TOLERANT SUB-THRESHOLD SRAM CELL DESIGN TECHNIQUE VOL. 1, NO. 8, MAY 215 ISSN 1819-668 26-215 Asian Research Publishing Network (ARPN). All rights reserved. VARIATION-TOLERANT SUB-THRESHOLD SRAM CELL DESIGN TECHNIQUE Soumitra Pal, Malreddy Shekar Reddy

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Behnam Amelifard Department of EE-Systems University of Southern California Los Angeles, CA (213)

More information

A VOLTAGE SCALING METHOD TO REDUCE POWER IN STATIC RAMS IN DEEP SUBMICRON TECHNOLOGY

A VOLTAGE SCALING METHOD TO REDUCE POWER IN STATIC RAMS IN DEEP SUBMICRON TECHNOLOGY A VOLTAGE SCALING METHOD TO REDUCE POWER IN STATIC RAMS IN DEEP SUBMICRON TECHNOLOGY VIDHYASHREE H K 1, THIPPESWAMY K H 2 P.G. Student, Department of Electronics and Communication, Don Bosco Institute

More information

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm Journal of Computer and Communications, 2015, 3, 164-168 Published Online November 2015 in SciRes. http://www.scirp.org/journal/jcc http://dx.doi.org/10.4236/jcc.2015.311026 Design and Implement of Low

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

Robust 6T Si tunneling transistor SRAM design

Robust 6T Si tunneling transistor SRAM design Robust 6T Si tunneling transistor SRAM design Xuebei Yang and Kartik Mohanram Department of Electrical and Computer Engineering, Rice University, Houston xbyang@rice.edu kmram@rice.edu Abstract SRAMs based

More information

A Novel Latch design for Low Power Applications

A Novel Latch design for Low Power Applications A Novel Latch design for Low Power Applications Abhilasha Deptt. of Electronics and Communication Engg., FET-MITS Lakshmangarh, Rajasthan (India) K. G. Sharma Suresh Gyan Vihar University, Jagatpura, Jaipur,

More information

Optimizing Design Time

Optimizing Design Time Optimizing Power @ Design Time Memory Benton H. Calhoun Jan M. Rabaey Role of Memory in ICs Memory is very important Focus in this chapter is embedded memory Percentage of area going to memory is increasing

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

SUB-THRESHOLD digital circuit design has emerged as

SUB-THRESHOLD digital circuit design has emerged as IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 7, JULY 2006 1673 Static Noise Margin Variation for Sub-threshold SRAM in 65-nm CMOS Benton H. Calhoun, Member, IEEE, and Anantha P. Chandrakasan, Fellow,

More information

Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM

Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM [ 2007 International Conference on VLSI Design ] Jan. 9, 2007 Ultra Low Voltage Operation with Bootstrap Scheme for Single Power Supply SOI-SRAM Masaaki Iijima, Masayuki Kitamura, Masahiro Numa, *Akira

More information

8T-SRAM Cell with Improved Read and Write Margins in 65 nm CMOS Technology

8T-SRAM Cell with Improved Read and Write Margins in 65 nm CMOS Technology 8T-SRAM Cell with Improved Read and Write Margins in 65 nm CMOS Technology Farshad Moradi (&), Mohammad Tohidi, Behzad Zeinali, and Jens K. Madsen Integrated Circuits and Electronics Laboratory, Department

More information

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications

Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications ABSTRACT Design and Optimization of Half Subtractor Circuits for Low-Voltage Low-Power Applications Abhishek Sharma,Gunakesh Sharma,Shipra ishra.tech. Embedded system & VLSI Design NIT,Gwalior.P. India

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Jan Rabaey, «Low Powere Design Essentials, Springer tml Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer,

More information

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b.

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. a PGMICRO, Federal University of Rio Grande do Sul, Porto Alegre, Brazil b Institute

More information

DIGITAL VLSI LAB ASSIGNMENT 1

DIGITAL VLSI LAB ASSIGNMENT 1 DIGITAL VLSI LAB ASSIGNMENT 1 Problem 1: NMOS and PMOS plots using Cadence. In this exercise, you are required to generate both NMOS and PMOS I-V device characteristics (I/P and O/P) using Cadence (Use

More information

Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications

Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications Design of Single Phase Continuous Clock Signal Set D-FF for Ultra Low Power VLSI Applications K. Kavitha MTech VLSI Design Department of ECE Narsimha Reddy Engineering College JNTU, Hyderabad, INDIA K.

More information

Design and Implementation of High Speed Sense Amplifier for Sram

Design and Implementation of High Speed Sense Amplifier for Sram American-Eurasian Journal of Scientific Research 12 (6): 320-326, 2017 ISSN 1818-6785 IDOSI Publications, 2017 DOI: 10.5829/idosi.aejsr.2017.320.326 Design and Implementation of High Speed Sense Amplifier

More information

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology.  Gate choice, logical optimization.  Fanin, fanout, Serial vs. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Design Space Exploration Lec 18: March 28, 2017 Design Space Exploration, Synchronous MOS Logic, Timing Hazards 3 Design Problem Problem Solvable!

More information

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper IJECT Vo l. 6, Is s u e 4, Oc t - De c 2015 ISSN : 2230-7109 (Online) ISSN : 2230-9543 (Print) Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits using Modified Sleepy Keeper

More information

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.5.577 ISSN(Online) 2233-4866 Low and High Performance Level-up Shifters

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM

Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM Swaroop Ghosh, Saibal Mukhopadhyay, Keejong Kim, and, Kaushik Roy School of Electrical and Computer Engineering,

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor

Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor Narendra Yadav 1, Vipin Kumar Gupta 2 1 Department of Electronics and Communication, Gyan Vihar University, Jaipur, Rajasthan,

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES

LEAKAGE IN NANOMETER CMOS TECHNOLOGIES LEAKAGE IN NANOMETER CMOS TECHNOLOGIES SERIES ON INTEGRATED CIRCUITS AND SYSTEMS Anantha Chandrakasan, Editor Massachusetts Institute of Technology Cambridge, Massachusetts, USA Published books in the

More information

Study of High Speed Buffer Amplifier using Microwind

Study of High Speed Buffer Amplifier using Microwind Study of High Speed Buffer Amplifier using Microwind Amrita Shukla M Tech Scholar NIIST Bhopal, India Puran Gaur HOD, NIIST Bhopal India Braj Bihari Soni Asst. Prof. NIIST Bhopal India ABSTRACT This paper

More information

[Singh*, 5(3): March, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

[Singh*, 5(3): March, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY COMPARISON OF GDI BASED D FLIP FLOP CIRCUITS USING 90NM AND 180NM TECHNOLOGY Gurwinder Singh*, Ramanjeet Singh ECE Department,

More information

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages A. Suvir Vikram *, Mrs. K. Srilakshmi ** And Mrs. Y. Syamala *** * M.Tech,

More information

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage:

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Email:

More information

Reduction of Leakage Power in Digital Logic Circuits Using Stacking Technique in 45 Nanometer Regime P. K. Sharma, B. Bhargava, S.

Reduction of Leakage Power in Digital Logic Circuits Using Stacking Technique in 45 Nanometer Regime P. K. Sharma, B. Bhargava, S. World cademy of Science, Engineering and Technology Reduction of Leakage Power in Digital Logic Circuits Using Stacking Technique in 45 Nanometer Regime P. K. Sharma,. hargava, S. kashe Digital Open Science

More information

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique Mansi Gangele 1, K.Pitambar Patra 2 *(Department Of

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

DESIGN AND STATISTICAL ANALYSIS (MONTECARLO) OF LOW-POWER AND HIGH STABLE PROPOSED SRAM CELL STRUCTURE

DESIGN AND STATISTICAL ANALYSIS (MONTECARLO) OF LOW-POWER AND HIGH STABLE PROPOSED SRAM CELL STRUCTURE DESIGN AND STATISTICAL ANALYSIS (MONTECARLO) OF LOW-POWER AND HIGH STABLE PROPOSED SRAM CELL STRUCTURE A Thesis Submitted in Partial Fulfilment of the Requirements for the Award of the Degree of Master

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates R Ravikumar Department of Micro and Nano Electronics, VIT University, Vellore, India ravi10ee052@hotmail.com

More information

Charge recycling 8T SRAM design for low voltage robust operation

Charge recycling 8T SRAM design for low voltage robust operation Southern Illinois University Carbondale OpenSIUC Articles Department of Electrical and Computer Engineering Spring --0 Charge recycling T SRAM design for low voltage robust operation Xu Wang Shanghai Jiaotong

More information

A Study and Comparison of Different Types of SRAM Designed for Usages with Low Power Consumption

A Study and Comparison of Different Types of SRAM Designed for Usages with Low Power Consumption International Research Journal of Applied and Basic Sciences 2014 Available online at www.irjabs.com ISSN 2251-838X / Vol, 8 (9): 1336-1344 Science Explorer Publications A Study and Comparison of Different

More information

Investigating Delay-Power Tradeoff in Kogge-Stone Adder in Standby Mode and Active Mode

Investigating Delay-Power Tradeoff in Kogge-Stone Adder in Standby Mode and Active Mode Investigating Delay-Power Tradeoff in Kogge-Stone Adder in Standby Mode and Active Mode Design Review 2, VLSI Design ECE6332 Sadredini Luonan wang November 11, 2014 1. Research In this design review, we

More information

A BICS Design to Detect Soft Error in CMOS SRAM

A BICS Design to Detect Soft Error in CMOS SRAM A BICS Design to Detect Soft Error in CMOS SRAM N.M.Sivamangai 1, Dr. K. Gunavathi 2, P. Balakrishnan 3 1 Lecturer, 2 Professor, 3 M.E. Student Department of Electronics and Communication Engineering,

More information

Energy Efficient Design of Logic Circuits Using Adiabatic Process

Energy Efficient Design of Logic Circuits Using Adiabatic Process Energy Efficient Design of Logic Circuits Using Adiabatic Process E. Chitra 1,N. Hemavathi 2, Vinod Ganesan 3 1 Dept. of ECE,SRM University, Chennai, India, chitra.e@ktr.srmuniv.ac.in 2 Dept. of ECE, SRM

More information

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER Sandeep kumar 1, Charanjeet Singh 2 1,2 ECE Department, DCRUST Murthal, Haryana Abstract Performance of sense amplifier has considerable impact on the speed

More information

DESIGN AND MODELLING OF DIFFERENT SRAM S BASED ON CNTFET 32NM TECHNOLOGY

DESIGN AND MODELLING OF DIFFERENT SRAM S BASED ON CNTFET 32NM TECHNOLOGY DESIGN AND MODELLING OF DIFFERENT SRAM S BASED ON CNTFET 32NM TECHNOLOGY Naagesh. S. Bhat 1 1 Developer, Mahindra Satyam Ltd., Bangalore, India bnsnagesh@gmail.com ABSTRACT Carbon nanotube field-effect

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY

RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY RELIABILITY ANALYSIS OF DYNAMIC LOGIC CIRCUITS UNDER TRANSISTOR AGING EFFECTS IN NANOTECHNOLOGY A thesis work submitted to the faculty of San Francisco State University In partial fulfillment of The Requirements

More information

Keywords - Analog Multiplier, Four-Quadrant, FVF Differential Structure, Source Follower.

Keywords - Analog Multiplier, Four-Quadrant, FVF Differential Structure, Source Follower. Characterization of CMOS Four Quadrant Analog Multiplier Nipa B. Modi*, Priyesh P. Gandhi ** *(PG Student, Department of Electronics & Communication, L. C. Institute of Technology, Gujarat Technological

More information

Design of an efficient NOR Content Addressable Memory Bit cell Using memristor and MT-CMOS in FinFET Technology

Design of an efficient NOR Content Addressable Memory Bit cell Using memristor and MT-CMOS in FinFET Technology International Journal of Innovation and Scientific Research ISSN 2351-8014 Vol. 22 No. 2 Apr. 2016, pp. 364-374 2015 Innovative Space of Scientific Research Journals http://www.ijisr.issr-journals.org/

More information

Comparative Analysis of Adiabatic Logic Techniques

Comparative Analysis of Adiabatic Logic Techniques Comparative Analysis of Adiabatic Logic Techniques Bhakti Patel Student, Department of Electronics and Telecommunication, Mumbai University Vile Parle (west), Mumbai, India ABSTRACT Power Consumption being

More information

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control

A 82.5% Power Efficiency at 1.2 mw Buck Converter with Sleep Control JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.6, DECEMBER, 2016 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2016.16.6.842 ISSN(Online) 2233-4866 A 82.5% Power Efficiency at 1.2 mw

More information

Design and Analysis of Low-Power 11- Transistor Full Adder

Design and Analysis of Low-Power 11- Transistor Full Adder Design and Analysis of Low-Power 11- Transistor Full Adder Ravi Tiwari, Khemraj Deshmukh PG Student [VLSI, Dept. of ECE, Shri Shankaracharya Technical Campus(FET), Bhilai, Chattisgarh, India 1 Assistant

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY Abhishek Sharma 1,Shipra Mishra 2 1 M.Tech. Embedded system & VLSI Design NITM,Gwalior M.P. India

More information

Digital Timing Control in SRAMs for Yield Enhancement and Graceful Aging Degradation

Digital Timing Control in SRAMs for Yield Enhancement and Graceful Aging Degradation Digital Timing Control in SRAMs for Yield Enhancement and Graceful Aging Degradation by Adam Neale A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for the degree

More information

FINFET BASED SRAM DESIGN FOR LOW POWER APPLICATIONS

FINFET BASED SRAM DESIGN FOR LOW POWER APPLICATIONS FINFET BASED SRAM DESIGN FOR LOW POWER APPLICATIONS SHRUTI OZA BVU College of Engineering, Pune-43 E-mail: Shruti.oza11@gmail.com Abstract- Industry demands Low-Power and High- Performance devices now-a-days.

More information

A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories

A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories A Read-Decoupled Gated-Ground SRAM Architecture for Low-Power Embedded Memories Wasim Hussain A Thesis In The Department of Electrical and Computer Engineering Presented in Partial Fulfillment of the Requirements

More information

Design and Analysis of Multiplexer in Different Low Power Techniques

Design and Analysis of Multiplexer in Different Low Power Techniques Design and Analysis of Multiplexer in Different Low Power Techniques S Prashanth 1, Prashant K Shah 2 M.Tech Student, Department of ECE, SVNIT, Surat, India 1 Associate Professor, Department of ECE, SVNIT,

More information

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM V. Karthikeyan 1 1 Department of ECE, SVSCE, Coimbatore, Tamilnadu, India, Karthick77keyan@gmail.com

More information

Design of Low Power Energy Efficient CMOS Circuits with Adiabatic Logic

Design of Low Power Energy Efficient CMOS Circuits with Adiabatic Logic Design of Low Power Energy Efficient CMOS Circuits with Adiabatic Logic Aneesha John 1, Charishma 2 PG student, Department of ECE, NMAMIT, Nitte, Karnataka, India 1 Assistant Professor, Department of ECE,

More information