QDI Fine-Grain Pipeline Templates

Size: px
Start display at page:

Download "QDI Fine-Grain Pipeline Templates"

Transcription

1 QDI Fine-Grain Pipeline Templates Peter. eerel University of Southern alifornia Outline synchronous Latches Fine Grain Pipelining Weak ondition Half uffer Template uffer Logic Examples Precharge Full uffer Template 9/9/2 US synchronous VLSI/D 2

2 synchronous Latches: -elements Generalization of synchronous dynamic latch to store state Most ommon Example: The Muller -element fter all inputs rise, output rises Output stays high until after all inputs fall when output goes low Output stays low until all inputs rise again wk 2-input -element 9/9/2 US synchronous VLSI/D 3 Staticizer Design Used to hold state when output is not actively driven Fight leakage current and to some degree noise Weak inverter needed: (W/L) 5-x smaller than nominal If nominal size is small, need to use big L (more than minimal) to make W/L small enough Problem: adds significant capacitance to output node Solution Use weak P and N that are always on to make inverter weak (Paver 94) L >> min wk L >> min Weak inverter : schematic + possible implementation 9/9/2 US synchronous VLSI/D 4

3 Fully-Static -element Staticizer can be removed by feeding back output of -element to create fully-static -element design etter noise margin, but more input capacitance Fully Static 2-input -element 9/9/2 US synchronous VLSI/D 5 symmetric -element Have inputs removed from either pullup or pull-down stack + denotes only in pull-down stack (involved in only -t- transition) - denotes only in pull-up stack (involved in only -to- transition) Generalized -elements have multiple pullup and/or pull down stacks + wk symmetric 2-input -element 9/9/2 US synchronous VLSI/D 6

4 Four-phase Protocol quest Sender ck nowledge ceiver quest c k nowledge st communication 2nd communication Two-phases active communication Two-phases turn to zero phases 9/9/2 US synchronous VLSI/D 7 synchronous Functional locks Input hannels F Output hannels Functionality ad a subset of input channels Waits for input channels to have data tokens ompute F and write to a subset of output channels Waits for output channels to be reset cknowledges input channels sets output channels Upon being acknowledged 9/9/2 US synchronous VLSI/D 8

5 Fine Grain Pipelining Input hannels F Output hannels asic Idea Each stage very small Fast latency Two gate delays forward latency One dynamic gate plus the inverter Fast cycle time -8 gate delays depending on template chieves 7+ in.25 micron technology 9/9/2 US synchronous VLSI/D 9 Dual-rail Encoding Dual-rail (-of-2) 2 wires per bit Generalizes to -of-n dvantages quest encoded in data Less timing assumptions Disadvantages More wires Generalizes to -of-n F Data T ck Data (2N-bits) Dual-Rail hannel Data F Logical Value set Invalid F2 9/9/2 US synchronous VLSI/D

6 ompletion Detectors sponsible for determining when set of -of-n channels have valid data when set of -of-n channels have reset V h OR h OR V D h n OR h h n ompletion Detector & Implementation for n dual-rail channels 9/9/2 US synchronous VLSI/D Weak ondition Half uffer Weak ondition Validity of outputs implies validity of inputs Inputs consumed -> can assert acknowledgement set of outputs implies reset of inputs Inputs reset -> can de-assert acknowledgement Le L R Le L ank of -latches R L R WH -of-n uffer WH -of-2 uffer (optimized) 9/9/2 US synchronous VLSI/D 2

7 WH w/ Logic Logic becomes complex Pull-up and pull-down stacks are big _ e _ R_ Weak ondition OR WH OR R R_ Function lock 9/9/2 US synchronous VLSI/D 3 Pre-charge Half-uffer Goals Use pre-charge logic and an input completion detector instead of weakcondition logic quires 2 guard transistors (Pc and Eval) in Function blocks moves many P-transistors in pull-up and pull-down Eval Pc Le Rx L LD Eval Pc F RD R L Pull-down Logic Function block schematic for each output rail 9/9/2 US synchronous VLSI/D 4

8 PH Handshaking Operation Precharge stage N : When stage N-, stage N,stage N+ completes evaluation Evaluate stage N : When stage N-, stage N,stage N+ completes precharging LD F RD LD F2 RD LD F3 RD 9/9/2 US synchronous VLSI/D 5 onditional ading and Writing onditional ading of Input hannels Le generation modified Need one Le per input rail cknowledge only input channel that is read ontrol signals need to be part of Le logic onditional Writing of Output hannels Skip circuitry Generate extra N+ output that is not routed out but goes to completion detection Modify circuitry so that you don t wait for reset of output channels that were not written 9/9/2 US synchronous VLSI/D 6

9 Summary asic WH and PH templates shown Fine grain pipelining Great for high-speed an it be used efficiently? Depends if can feed pipeline fast enough ut can this also yield low-power for a applications that need not so much speed? Maybe if Use more sequential rather than parallel algorithm Use lower power supply to get quadratic savings 9/9/2 US synchronous VLSI/D 7

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 7. Clocked and self-resetting logic I

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 7. Clocked and self-resetting logic I EE E6930 Advanced Digital Integrated Circuits Spring, 2002 Lecture 7. Clocked and self-resetting logic I References CBF, Chapter 8 DP, Section 4.3.3.1-4.3.3.4 Bernstein, High-speed CMOS design styles,

More information

! Review: Sequential MOS Logic. " SR Latch. " D-Latch. ! Timing Hazards. ! Dynamic Logic. " Domino Logic. ! Charge Sharing Setup.

! Review: Sequential MOS Logic.  SR Latch.  D-Latch. ! Timing Hazards. ! Dynamic Logic.  Domino Logic. ! Charge Sharing Setup. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 9: March 29, 206 Timing Hazards and Dynamic Logic Lecture Outline! Review: Sequential MOS Logic " SR " D-! Timing Hazards! Dynamic Logic "

More information

SINGLE-TRACK ASYNCHRONOUS PIPELINE TEMPLATE. Marcos Ferretti

SINGLE-TRACK ASYNCHRONOUS PIPELINE TEMPLATE. Marcos Ferretti SINGLE-TRACK ASYNCHRONOUS PIPELINE TEMPLATE by Marcos Ferretti A Dissertation Presented to the FACULTY OF THE GRADUATE SCHOOL UNIVERSITY OF SOUTHERN CALIFORNIA In Partial Fulfillment of the Requirements

More information

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1 Dynamic Logic Dynamic Circuits will be introduced and their performance in terms of power, area, delay, energy and AT 2 will be reviewed. We will review the following logic families: Domino logic P-E logic

More information

Reducing Power Consumption with Relaxed Quasi Delay-Insensitive Circuits

Reducing Power Consumption with Relaxed Quasi Delay-Insensitive Circuits Reducing Power Consumption with Relaxed Quasi Delay-Insensitive Circuits Christopher LaFrieda and Rajit Manohar Computer Systems Laboratory Cornell University Ithaca, NY 14853, USA {ccl28,rajit}@csl.cornell.edu

More information

Department of Electrical and Computer Systems Engineering

Department of Electrical and Computer Systems Engineering Department of Electrical and Computer Systems Engineering Technical Report MECSE-31-2005 Asynchronous Self Timed Processing: Improving Performance and Design Practicality D. Browne and L. Kleeman Asynchronous

More information

LOW POWER DIGITAL DESIGN USING ASYNCHRONOUS FINE GRAIN LOGIC

LOW POWER DIGITAL DESIGN USING ASYNCHRONOUS FINE GRAIN LOGIC LOW POWER DIGITAL DESIGN USING ASYNCHRONOUS FINE GRAIN LOGIC Ms. Jeena Joy Electronics and Communication Engineering Vivekanandha College of Engineering for Women Tiruchengode, Erode, Tamilnadu, India.

More information

EEC 118 Lecture #12: Dynamic Logic

EEC 118 Lecture #12: Dynamic Logic EEC 118 Lecture #12: Dynamic Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Today: Alternative MOS Logic Styles Dynamic MOS Logic Circuits: Rabaey

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

Lecture 14: Datapath Functional Units Adders

Lecture 14: Datapath Functional Units Adders Lecture 14: Datapath Functional Units dders Mark Horowitz omputer Systems Laboratory Stanford University horowitz@stanford.edu MH EE271 Lecture 14 1 Overview Reading W&E 8.2.1 - dders References Hennessy

More information

CHAPTER 4 GALS ARCHITECTURE

CHAPTER 4 GALS ARCHITECTURE 64 CHAPTER 4 GALS ARCHITECTURE The aim of this chapter is to implement an application on GALS architecture. The synchronous and asynchronous implementations are compared in FFT design. The power consumption

More information

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks Logic Restructuring Revisited Low Power VLSI System Design Lectures 4 & 5: Logic-Level Power Optimization Prof. R. Iris ahar September 8 &, 7 Logic restructuring: hanging the topology of a logic network

More information

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 6 Combinational CMOS Circuit and Logic Design Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Advanced Reliable Systems (ARES) Lab. Jin-Fu Li,

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

Speedup of Self-Timed Digital Systems Using Early Completion

Speedup of Self-Timed Digital Systems Using Early Completion Speedup of Self-Timed igital Systems Using Early ompletion Scott. Smith University of Missouri Rolla, epartment of Electrical and omputer Engineering 3 Emerson Electric o. Hall, 87 Miner ircle, Rolla,

More information

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers Accurate Timing and Power Characterization of Static Single-Track Full-Buffers By Rahul Rithe Department of Electronics & Electrical Communication Engineering Indian Institute of Technology Kharagpur,

More information

To appear in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, San Francisco, February 2002.

To appear in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, San Francisco, February 2002. To appear in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers, San Francisco, February 2002. 3.5. A 1.3 GSample/s 10-tap Full-rate Variable-latency Self-timed FIR filter

More information

Asynchronous Gate-Diffusion-Input (GDI) Circuits

Asynchronous Gate-Diffusion-Input (GDI) Circuits synchronous Gate-Diffusion-Input () ircuits rkadiy Morgenshtein, Michael Moreinis and Ran Ginosar Electrical Engineering Department, Technion Israel Institute of Technology, Haifa 32, Israel [ran@ee.technion.ac.il]

More information

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology.  Gate choice, logical optimization.  Fanin, fanout, Serial vs. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Design Space Exploration Lec 18: March 28, 2017 Design Space Exploration, Synchronous MOS Logic, Timing Hazards 3 Design Problem Problem Solvable!

More information

EE434 ASIC & Digital Systems

EE434 ASIC & Digital Systems EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Lecture 4 More on CMOS Gates Ref: Textbook chapter

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

CD4538 Dual Precision Monostable

CD4538 Dual Precision Monostable CD4538 Dual Precision Monostable General Description The CD4538BC is a dual, precision monostable multivibrator with independent trigger and reset controls. The device is retriggerable and resettable,

More information

Efficient Asynchronous Bundled-data Pipelines for DCT Matrix-Vector Multiplication

Efficient Asynchronous Bundled-data Pipelines for DCT Matrix-Vector Multiplication TECHNICAL REPORT CENG-2005-03 1 Efficient Asynchronous Bundled-data Pipelines for CT Matrix-Vector Multiplication Sunan Tugsinavisut,Youpyo Hong, aewook Kim, Kyeounsoo Kim and Peter A. Beerel, Abstract

More information

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits

Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Module 4 : Propagation Delays in MOS Lecture 19 : Analyzing Delay for various Logic Circuits Objectives In this lecture you will learn the following Ratioed Logic Pass Transistor Logic Dynamic Logic Circuits

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

High Performance Asynchronous ASIC Back-End Design Flow Using Single-Track Full-Buffer Standard Cells

High Performance Asynchronous ASIC Back-End Design Flow Using Single-Track Full-Buffer Standard Cells High Performance Asynchronous ASIC Back-End Design Flow Using Single-Track Full-Buffer Standard Cells Marcos Ferretti, Recep O. Ozdag, Peter A. Beerel Department of Electrical Engineering Systems University

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

±15kV ESD-Protected, 460kbps, 1µA, RS-232-Compatible Transceivers in µmax

±15kV ESD-Protected, 460kbps, 1µA, RS-232-Compatible Transceivers in µmax 19-191; Rev ; 1/1 ±15kV ESD-Protected, 6kbps, 1µA, General Description The are low-power, 5V EIA/TIA- 3-compatible transceivers. All transmitter outputs and receiver inputs are protected to ±15kV using

More information

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

Design of Asynchronous Circuits for High Soft Error Tolerance in Deep Submicron CMOS Circuits

Design of Asynchronous Circuits for High Soft Error Tolerance in Deep Submicron CMOS Circuits Design of synchronous Circuits for High Soft Error Tolerance in Deep Submicron CMOS Circuits Weidong Kuang, Member IEEE, Peiyi Zhao, Member IEEE, J.S. Yuan, Senior Member, IEEE, and R. F. DeMara, Senior

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

Timing Verification of Sequential Domino Circuits

Timing Verification of Sequential Domino Circuits Timing Verification of Sequential Domino Circuits David Van Campenhout, Trevor Mudge, and Karem A. Sakallah Advanced Computer Architecture Laboratory EECS Department, University of Michigan Ann Arbor,

More information

M.Sc. Thesis. Implementation and automatic generation of asynchronous scheduled dataflow graph. T.M. van Leeuwen B.Sc. Abstract

M.Sc. Thesis. Implementation and automatic generation of asynchronous scheduled dataflow graph. T.M. van Leeuwen B.Sc. Abstract Circuits and Systems Mekelweg 4, 2628 CD Delft The Netherlands http://ens.ewi.tudelft.nl/ CAS-2010-10 Implementation and automatic generation of asynchronous scheduled dataflow graph Abstract Most digital

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Combinational Logic Gates in CMOS

Combinational Logic Gates in CMOS Combinational Logic Gates in CMOS References: dapted from: Digital Integrated Circuits: Design Perspective, J. Rabaey UC Principles of CMOS VLSI Design: Systems Perspective, 2nd Ed., N. H. E. Weste and

More information

Fault Detection and Isolation Techniques for Quasi Delay-Insensitive Circuits

Fault Detection and Isolation Techniques for Quasi Delay-Insensitive Circuits Fault Detection and Isolation Techniques for Quasi Delay-Insensitive Circuits Christopher LaFrieda and Rajit Manohar Computer Systems Laboratory Cornell University Ithaca NY 14853, U.S.A. Abstract This

More information

Testing scheme for IC's clocks. DEIS - University of Bologna. Viale Risorgimento, 2. treated as a side eect. In fact, it is easy to

Testing scheme for IC's clocks. DEIS - University of Bologna. Viale Risorgimento, 2. treated as a side eect. In fact, it is easy to Testing scheme for IC's clocks ichele Favalli and Cecilia etra DEIS - University of Bologna Viale Risorgimento, 2 40136 Bologna, Italy Abstract This paper proposes a testing scheme to detect abnormal skews

More information

IJMIE Volume 2, Issue 3 ISSN:

IJMIE Volume 2, Issue 3 ISSN: IJMIE Volume 2, Issue 3 ISSN: 2249-0558 VLSI DESIGN OF LOW POWER HIGH SPEED DOMINO LOGIC Ms. Rakhi R. Agrawal* Dr. S. A. Ladhake** Abstract: Simple to implement, low cost designs in CMOS Domino logic are

More information

Outline. EECS Components and Design Techniques for Digital Systems. Lec 12 - Timing. General Model of Synchronous Circuit

Outline. EECS Components and Design Techniques for Digital Systems. Lec 12 - Timing. General Model of Synchronous Circuit Outline EES 5 - omponents and esign Techniques for igital Systems Lec 2 - Timing avid uller Electrical Engineering and omputer Sciences University of alifornia, erkeley Performance Limits of Synchronous

More information

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Marco Storto and Roberto Saletti Dipartimento di Ingegneria della Informazione: Elettronica, Informatica,

More information

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Homework 5 this week Lab

More information

CD4538BC Dual Precision Monostable

CD4538BC Dual Precision Monostable CD4538BC Dual Precision Monostable General Description The CD4538BC is a dual, precision monostable multivibrator with independent trigger and reset controls. The device is retriggerable and resettable,

More information

Asynchronous Early Output Section-Carry Based Carry Lookahead Adder with Alias Carry Logic

Asynchronous Early Output Section-Carry Based Carry Lookahead Adder with Alias Carry Logic Asynchronous Early Output Section-arry Based arry Lookahead Adder with Alias arry Logic P. Balasubramanian,. Dang, D.L. Maskell, and K. Prasad Abstract - A new asynchronous early output section-carry based

More information

Performance Comparison of High-Speed Adders Using 180nm Technology

Performance Comparison of High-Speed Adders Using 180nm Technology Steena Maria Thomas et al. 2016, Volume 4 Issue 2 ISSN (Online): 2348-4098 ISSN (Print): 2395-4752 International Journal of Science, Engineering and Technology An Open Access Journal Performance Comparison

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories.

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories. Logic Families Characterizing Digital ICs Digital ICs characterized several ways Circuit Complexity Gives measure of number of transistors or gates Within single package Four general categories SSI - Small

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing

Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Clock-Powered CMOS: A Hybrid Adiabatic Logic Style for Energy-Efficient Computing Nestoras Tzartzanis and Bill Athas nestoras@isiedu, athas@isiedu http://wwwisiedu/acmos Information Sciences Institute

More information

Electronics Basic CMOS digital circuits

Electronics Basic CMOS digital circuits Electronics Basic CMOS digital circuits Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED October 21, 2014 1 / 30 Introduction The topics covered today: The inverter: the simplest

More information

ECE520 VLSI Design. Lecture 11: Combinational Static Logic. Prof. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 11: Combinational Static Logic. Prof. Payman Zarkesh-Ha EE520 VLSI esign Lecture 11: ombinational Static Logic Prof. Payman Zarkesh-Ha Office: EE ldg. 230 Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 eview of Last

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information

1/19/2012. Timing in Asynchronous Circuits

1/19/2012. Timing in Asynchronous Circuits Timing in Asynchronous Circuits 1 What do we mean by clock? The system clock for an integrated circuit is a voltage signal that pulses at a regular frequency. 1 0 Time The clock tells each stage of a circuit

More information

Just-In-Time Power Gating of GasP Circuits

Just-In-Time Power Gating of GasP Circuits Portland State University PDXScholar Dissertations and Theses Dissertations and Theses Winter 2-13-2013 Just-In-Time Power Gating of GasP Circuits Prachi Gulab Padwal Portland State University Let us know

More information

EFFECTIVE CONTROLLER IN OPTIMIZED ASYNCHRONOUS LOGIC

EFFECTIVE CONTROLLER IN OPTIMIZED ASYNCHRONOUS LOGIC 1145 EFFETIVE ONTROLLER IN OPTIMIZED ASYNHRONOUS LOGI P.Sudha 1, P.Kavitha 2 1 Faculty, department of EE, M.A.M School of Engineering,Siruganur, Tamilnadu, India, 2 Faculty, department of EE, M.A.M School

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

CMOS Implementation of Threshold Gates with Hysteresis

CMOS Implementation of Threshold Gates with Hysteresis MOS Implementation of Threshold Gates with Hysteresis Farhad. Parsan 1, and Scott. Smith 1 University of rkansas, Fayetteville R 72701, US, {fparsan,smithsco}@uark.edu bstract. NULL onvention Logic (NL)

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 E 66 dvanced VLI Design dder Design Department of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www. ece.uah.edu/~milenka ) [dapted from Rabaey s Digital

More information

An Asynchronous Ternary Logic Signaling System

An Asynchronous Ternary Logic Signaling System 1114 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO. 6, DECEMBER 2003 An Asynchronous Ternary Logic Signaling System Tomaz Felicijan and Steve B. Furber, Senior Member, IEEE

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

Adiabatic Logic. Benjamin Gojman. August 8, 2004

Adiabatic Logic. Benjamin Gojman. August 8, 2004 Adiabatic Logic Benjamin Gojman August 8, 2004 1 Adiabatic Logic Adiabatic Logic is the term given to low-power electronic circuits that implement reversible logic. The term comes from the fact that an

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

VLSI Design 11. Sequential Elements

VLSI Design 11. Sequential Elements VLSI esign Last module: Wire resistance and capacitance RC delay Wire gineering This module Floorplanning (basic physical design determines wires) Sequtial circuit design Clock skew Floorplan How do you

More information

Controller Implementation--Part I. Cascading Edge-triggered Flip-Flops

Controller Implementation--Part I. Cascading Edge-triggered Flip-Flops Controller Implementation--Part I Alternative controller FSM implementation approaches based on: Classical Moore and Mealy machines Time state: Divide and Counter Jump counters Microprogramming (ROM) based

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 6: RX Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 4 Prelab due now Exam

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Programmable Clock Generator

Programmable Clock Generator Features Clock outputs ranging from 391 khz to 100 MHz (TTL levels) or 90 MHz (CMOS levels) 2-wire serial interface facilitates programmable output frequency Phase-Locked Loop oscillator input derived

More information

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 44 Digital Circuits Other Logic Styles Dynamic Logic Circuits Course Evaluation Reminder - ll Electronic http://bit.ly/isustudentevals Review from Last Time Power Dissipation in Logic Circuits

More information

Delay-Insensitive Gate-Level Pipelining

Delay-Insensitive Gate-Level Pipelining Delay-Insensitive Gate-Level Pipelining S. C. Smith, R. F. DeMara, J. S. Yuan, M. Hagedorn, and D. Ferguson Keywords: Asynchronous logic design, self-timed circuits, dual-rail encoding, pipelining, NULL

More information

Design for Testability Implementation Of Dual Rail Half Adder Based on Level Sensitive Scan Cell Design

Design for Testability Implementation Of Dual Rail Half Adder Based on Level Sensitive Scan Cell Design Design for Testability Implementation Of Dual Rail Half Adder Based on Level Sensitive Scan Cell Design M.S.Kavitha 1 1 Department Of ECE, Srinivasan Engineering College Abstract Design for testability

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Designing with the Si9976DY N-Channel Half-Bridge Driver and LITTLE FOOT Dual MOSFETs

Designing with the Si9976DY N-Channel Half-Bridge Driver and LITTLE FOOT Dual MOSFETs Designing with the DY N-Channel Half-ridge Driver and s Wharton McDaniel The DY is a fully integrated half-bridge driver IC which was designed to work with the family of power products in 0- to 0-V systems.

More information

+3.3V-Powered, EIA/TIA-562 Dual Transceiver with Receivers Active in Shutdown

+3.3V-Powered, EIA/TIA-562 Dual Transceiver with Receivers Active in Shutdown 19-0198; Rev 0; 10/9 +.Powered, EIA/TIA-5 Dual Transceiver General Description The is a +.powered EIA/TIA-5 transceiver with two transmitters and two receivers. Because it implements the EIA/TIA-5 standard,

More information

Evaluation of the Masked Logic Style MDPL on a Prototype Chip

Evaluation of the Masked Logic Style MDPL on a Prototype Chip Evaluation of the Masked Logic Style MDPL on a Prototype Chip Thomas Popp, Mario Kirschbaum, Thomas Zefferer Graz University of Technology Institute for Applied Information Processing and Communications

More information

Boost Logic : A High Speed Energy Recovery Circuit Family

Boost Logic : A High Speed Energy Recovery Circuit Family Boost Logic : A High Speed Energy Recovery Circuit Family Visvesh S. Sathe, Marios C. Papaefthymiou Department of EECS, University of Michigan Ann Arbor, USA vssathe,marios @eecs.umich.edu Conrad H. Ziesler

More information

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction

Chapter 3 DESIGN OF ADIABATIC CIRCUIT. 3.1 Introduction Chapter 3 DESIGN OF ADIABATIC CIRCUIT 3.1 Introduction The details of the initial experimental work carried out to understand the energy recovery adiabatic principle are presented in this section. This

More information

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates Learning Outcome: an ability to analyze and design CMOS logic gates Learning Objectives: 1-1. convert numbers from one base (radix) to another:

More information

EECS 141: FALL 98 FINAL

EECS 141: FALL 98 FINAL University of California College of Engineering Department of Electrical Engineering and Computer Science J. M. Rabaey 511 Cory Hall TuTh9:30-11am ee141@eecs EECS 141: FALL 98 FINAL For all problems, you

More information

Layout - Line of Diffusion. Where are we? Line of Diffusion in General. Line of Diffusion in General. Stick Diagrams. Line of Diffusion in General

Layout - Line of Diffusion. Where are we? Line of Diffusion in General. Line of Diffusion in General. Stick Diagrams. Line of Diffusion in General Where are we? Lots of Layout issues Line of diffusion style Power pitch it-slice pitch Routing strategies Transistor sizing Wire sizing Layout - Line of Diffusion Very common layout method Start with a

More information

Lecture 10. Circuit Pitfalls

Lecture 10. Circuit Pitfalls Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski

More information

A Comparison of Power Consumption in Some CMOS Adder Circuits

A Comparison of Power Consumption in Some CMOS Adder Circuits A Comparison of Power Consumption in Some CMOS Adder Circuits D.J. Kinniment *, J.D. Garside +, and B. Gao * * Electrical and Electronic Engineering Department, The University, Newcastle upon Tyne, NE1

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

±15kV ESD-Protected, 3.0V to 5.5V, Low-Power, up to 250kbps, True RS-232 Transceiver

±15kV ESD-Protected, 3.0V to 5.5V, Low-Power, up to 250kbps, True RS-232 Transceiver 19-1949; Rev ; 1/1 ±15k ESD-Protected, 3. to 5.5, Low-Power, General Description The is a 3-powered EIA/TIA-232 and.28/.24 communications interface with low power requirements, high data-rate capabilities,

More information

Fine-Grain Power-Gated Logic for Asynchronous Circuit Using Partial Charge Reuse

Fine-Grain Power-Gated Logic for Asynchronous Circuit Using Partial Charge Reuse IOSR Journal of Engineering (IOSRJEN) ISSN (e): 2250-3021, ISSN (p): 2278-8719 Vol. 04, Issue 05 (May. 2014), V1 PP 52-60 www.iosrjen.org Fine-Grain Power-Gated Logic for Asynchronous Circuit Using Partial

More information

A Bottom-Up Approach to on-chip Signal Integrity

A Bottom-Up Approach to on-chip Signal Integrity A Bottom-Up Approach to on-chip Signal Integrity Andrea Acquaviva, and Alessandro Bogliolo Information Science and Technology Institute (STI) University of Urbino 6029 Urbino, Italy acquaviva@sti.uniurb.it

More information

A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure Design

A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 6, Issue 2 (May. - Jun. 2013), PP 72-80 A Novel Flipflop Topology for High Speed and Area

More information

Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector

Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector Sanjay Kumar Wadhwa 1, G.K. Siddhartha 2, Anand Gaurav 3 Freescale Semiconductor India Pvt. Ltd. 1 sanjay.wadhwa@freescale.com,

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 E/EE, E 5 VLI Design I L: dder Design Department of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www. ece.uah.edu/~milenka ) www. ece.uah.edu/~milenka/cpe5-f

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

Lecture 8: Memory Peripherals

Lecture 8: Memory Peripherals Digital Integrated Circuits (83-313) Lecture 8: Memory Peripherals Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 20 May 2017 Disclaimer: This course was prepared, in its

More information

Current Sensing Completion Detection for High Speed and Area Efficient Arithmetic. Balapradeep Gadamsetti

Current Sensing Completion Detection for High Speed and Area Efficient Arithmetic. Balapradeep Gadamsetti Current Sensing Completion Detection for High Speed and Area Efficient Arithmetic by Balapradeep Gadamsetti A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the

More information

OBSOLETE. Simple Sequencers in 6-Lead SC70 ADM1088. Data Sheet

OBSOLETE. Simple Sequencers in 6-Lead SC70 ADM1088. Data Sheet Data Sheet Simple Sequencers in 6-Lead SC7 FEATURES Provide programmable time delays between enable signals Can be cascaded with power modules for multiple supply sequencing Power supply monitoring from.6

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information