FinFETs have been adopted for the high-volume production

Size: px
Start display at page:

Download "FinFETs have been adopted for the high-volume production"

Transcription

1 1502 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 4, APRIL 2016 Analysis of 7/8-nm Bulk-Si FinFET Technologies for 6T-SRAM Scaling Xi Zhang, Senior Member, IEEE, Daniel Connelly, Member, IEEE, Peng Zheng, Student Member, IEEE, Hideki Takeuchi, Member, IEEE, Marek Hytha, Senior Member, IEEE, Robert J. Mears, and Tsu-Jae King Liu, Fellow, IEEE Abstract The benefits of a super-steep retrograde (SSR) fin doping profile, which can be achieved using the oxygen insertion technology, are quantified via 3-D technology computer-aided design simulations for the 7/8-nm bulk-si FinFET technology targeting low-power applications. A calibrated compact model is then used to estimate the six-transistor static RAM cell performance and yield. The SSR FinFET technology is projected to provide for up to 100 mv reduction in minimum cell supply voltage, to facilitate voltage scaling to below 0.50 V. Index Terms FinFET, six-transistor (6T) static RAM (SRAM), super-steep retrograde (SSR), variability. I. INTRODUCTION FinFETs have been adopted for the high-volume production of CMOS integrated circuits beginning at the 22-nm technology generation [1], due to the superior electrostatic integrity of these multigate transistor structures. Although silicon-on-insulator wafers are ideal substrates for the manufacture of FinFETs with low OFF-state leakage current [2], they are much more expensive than the conventional bulksi wafers. If a bulk-si wafer is used as the substrate for FinFET fabrication, then heavy punch-through stopper (PTS) doping is needed at the base of the fins to suppress OFF-state leakage current. A conventional doping process results in dopants within the fin (channel region), on the order of cm 3, which degrades transistor ON-state current. In addition, heavy channel doping results in increased processinduced variations in transistor performance, which pose a serious challenge for achieving sufficiently high yield for large static RAM (SRAM) arrays. In this paper, the benefits of a Manuscript received November 9, 2015; revised January 26, 2016; accepted January 28, Date of current version March 22, The work of X. Zhang was supported by the Maxine Pao Memorial Scholarship Fund. The review of this paper was arranged by Editor R. M. Todi. X. Zhang, D. Connelly, and P. Zheng are with the Department of Electrical Engineering and Computer Sciences, University of California at Berkeley, Berkeley, CA USA ( hearto@eecs.berkeley.edu; djconnel@ yahoo.com; pzheng@eecs.berkeley.edu). H. Takeuchi, M. Hytha, and R. J. Mears are with Mears Technologies, Inc., Newton, MA USA ( hideki.takeuchi@mearstechnologies.com; marek.hytha@mearstechnologies.com; robert.mears@mearstechnologies. com). T.-J. K. Liu is with the Department of Electrical Engineering and Computer Sciences, University of California at Berkeley, Berkeley, CA USA, and also with the Kavli Energy NanoScience Institute, Berkeley, CA USA ( tking@eecs.berkeley.edu). Color versions of one or more of the figures in this paper are available online at Digital Object Identifier /TED Fig. 1. Simulated 3-D n-channel FinFET structures. The net dopant concentration is represented in color using a hyperbolic arcsine scale. super-steep retrograde (SSR) fin doping profile, which can be achieved using the oxygen insertion technology [3], are quantified via 3-D device technology computer-aided design (TCAD) simulations for the 7/8-nm bulk-si FinFET technology targeting low-power applications. The benefits of the SSR FinFET technology [4] for facilitating reductions in six-transistor (6T) static memory (SRAM) cell operating voltage are quantified. II. BULK-Si FinFET DESIGN OPTIMIZATION Fig. 1 shows a perspective view of the 3-D FinFET structures simulated using Sentaurus Device [5] in this paper. Thegatelength(L gate ) is 15 nm, which corresponds to the 7/8-nm technology node in the International Technology Roadmap for Semiconductors (ITRS) [6]. The equivalent gateoxide thickness is 0.64 nm. The fin height (H Si )is40nm, the fin width (W Si ) is 8 nm, so that the fin aspect ratio is 5, and the fin pitch is 30 nm based on Intel 22-nm [1] and 14-nm FinFET technology [7]. The gate work function is assumed to be tunable to achieve an OFF-state leakage current specification (I OFF ) of 30 pa/μm (consistent with TSMC s 16-nm FinFET technology [8]) for low-power applications. The current is normalized to the effective channel width (W eff ), which is defined as the peripheral length of the silicon fin region. The fin shape is rectangular with rounded corners (1-nm radius of curvature) for reduced gate leakage and enhanced gate control [9], as in the Intel s 14-nm FinFET technology [7]. The thickness of the shallow trench isolation oxide is 50 nm. The FinFET structures each comprise heavily IEEE. Personal use is permitted, but republication/redistribution requires IEEE permission. See for more information.

2 ZHANG et al.: ANALYSIS OF 7/8-nm BULK-Si FinFET TECHNOLOGIES 1503 TABLE I BULK-Si FinFET DESIGNS:NOMINAL PARAMETER VALUES TABLE II SUMMARY OF KEY PERFORMANCE PARAMETERS FOR THE OPTIMIZED FinFET DESIGNS doped raised source/drain (S/D) regions formed by selective epitaxial growth (SEG) [10], for reduced parasitic resistance. In this paper, the S/D junctions are assumed to have a Gaussian doping profile with 2-nm/decade gradient and peak concentrations cm 3 [11]. The SEG S/D regions comprise doped silicon for n-channel FinFETs (nfets), and silicon-germanium (SiGe) with 50% germanium concentration [1] for p-channel FinFETs (pfets), with parameter values taken from [12]. Ohmic contacts (specific contact resistivity cm 2 ) are made only to the top surfaces of the SEG S/D regions. Table I summarizes the nominal values of the various design parameters for the control FinFETs and the SSR FinFETs. The TCAD software package Sentaurus Device [5] was used to simulate the FinFET performance using the drift-diffusion transport model [13] calibrated to the ballistic Monte Carlo simulations, the Philips unified model for carrier mobility, the bandgap narrowing model, the density gradient quantization model, and the nonlocal-path trap-assisted tunneling model [14]. The fin sidewall surfaces (along which the transistor current flows) are assumed to be {110} crystallographic planes, with transistor current flow in a 110 direction. To boost transistor ON-state current, 2-GPa (tensile) uniaxial stress is induced in the fin channel region for nfets, whereas 2-GPa (compressive) uniaxial stress is induced in the fin channel region for pfets. The effective channel length (L eff ) and the peak location of the PTS doping profile (X depth ) for the SSR FinFETs are separately optimized to maximize the ON-state drive current I d,sat, while meeting the same OFF-state current specification (I OFF = 30 pa/μm). Table II summarizes the key performance parameters for the optimized FinFET designs. Threshold voltage, V t, is extracted based on a constant current criterion of 100 na (W eff /L gate ). For operating voltage V DD = 0.80 V (consistent with ITRS 2013 specifications for the 7/8-nm low-power technology node [6]), SSR FinFET provides for 3.6% and 3.8% improvement in I d,sat for nfets and pfets, respectively. The benefit of higher carrier mobility is greater for operation in the linear regime (V gs = 0.8 V and V ds = 50 mv): SSR FinFET provides for 6.7% and 6% improvement in I d,lin for nfets and pfets, respectively. Fig. 2 shows the net dopant concentration profiles along the channel direction, from the source region to the drain region, Fig. 2. Net dopant concentration profiles along the channel direction (left), from the source region to the drain region, and fin doping depth profiles (right) for the optimized control FinFETs and SSR FinFETs. L eff is defined as the lateral distance between the points where the S/D dopant concentration falls to cm 3, and is tuned to optimize the tradeoff between series resistance and short-channel effect by adjusting spacer length L sp [15]. Fig. 3. Simulated I ds versus V ds characteristics of n-channel (left) and p-channel (right) FinFETs. and the optimized fin channel doping profiles, for each of the optimized FinFET designs. The optimal value of X depth is 46 nm and the optimal value of N fin,peak is cm 3 for both n-channel and p-channel SSR FinFETs. Fig. 3 shows the simulated I ds V ds characteristics for the optimized FinFET designs. III. COMPACT MODEL CALIBRATION In this paper, a compact (analytical) model for transistor current as a function of applied voltages is used to estimate the 6T-SRAM cell performance and yield, following the methodology established and validated with experimental data in [16]. The model parameter values are chosen to provide the best fit to TCAD 3-D device simulations, for each n-channel or p-channel transistor design (SSR FinFET or

3 1504 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 4, APRIL 2016 Fig. 4. Comparison of the calibrated compact model (lines) and simulated transfer characteristics (symbols) for nfets. Fig. 7. Effects of fin-width variation on FinFET threshold voltage and OFF-state leakage current. Fig. 5. Comparison of the calibrated compact model (lines) and simulated transfer characteristics (symbols) for pfets. TABLE III VARIABILITY IN FinFET SATURATION THRESHOLD VOLTAGE (V t,sat ), ON-STATE CURRENT, AND OFF-STATE CURRENT DUE TO RANDOM SOURCES OF VARIATION Fig. 6. Effects of gate-length variation on FinFET threshold voltage and OFF-state leakage current. control FinFET). This model is based on the I V equations for a short-channel MOSFET, which account for channel length modulation, velocity saturation, and bulk charge effects. Figs. 4 and 5 show that the calibrated compact model matches well the 3-D device TCAD simulations for both control FinFETs and SSR FinFETs. IV. IMPACT OF SYSTEMATIC SOURCES OF VARIATIONS In this paper, process-induced variations in L gate and W Si are assumed to have Gaussian distributions with ±10% variation corresponding to three standard deviations away from the mean (nominal) value. Fig. 6 shows the dependencies of threshold voltage (V t )and I OFF on L gate. It can be seen that the calibrated compact model predicts well the V t roll-off effect. SSR FinFETs slightly show the greater sensitivity of I OFF to changes in L gate, since the heavily doped fin channel of control FinFETs mitigates the short-channel effect. Fig. 7 shows the dependences of V t and I OFF on W Si. The threshold-voltage magnitude increases (and hence, the OFF-state leakage current decreases) with decreasing fin width, due to the quantum confinement effect [17]. V. IMPACT OF RANDOM SOURCES OF VARIATIONS Random sources of variation become dominant as transistors are scaled down toward atomic dimensions, and can limit the IC manufacturing yield [18]. These sources include random dopant fluctuations (RDF) and gate work function variation (WFV) [19], [20]. In this paper, the gate material is assumed to be TiN with work function distributions taken from [21]. The use of spacer lithography [22] to define nanometer-scale critical dimensions (gate length and fin width) is becoming prevalent, so that line-edge roughness is not expected to be a significant source of random variability in FinFET performance. In this paper, random variability in transistor performance is determined using the noiselike impedance field method [23], [24] via 3-D device TCAD simulations. The

4 ZHANG et al.: ANALYSIS OF 7/8-nm BULK-Si FinFET TECHNOLOGIES 1505 Fig. 8. Modeled write-n curves (left) and butterfly curves (right) for the FinFET 6T-SRAM cell design. I w is the minimum amount of current flowing out of the internal storage node as it is discharged from V DD toward ground potential. Read SNM corresponds to the length of the largest square that fits within the smaller lobe of the butterfly plot. TABLE IV COMPARISON OF FinFET-BASED 6T-SRAM CELL PERFORMANCE METRICS (V DD = 0.80 V) Fig. 9. Read SNM yield versus write-ability current yield, for the 1-2-1, 1-2-2, and SRAM cell designs comprising either SSR FinFETs (filled symbols) or control FinFETs (open symbols). Cell operating voltage V DD is varied from 0.38 to 0.80 V in 60-mV steps. results summarized in Table III show that the SSR FinFETs have good immunity to RDF, since they have relatively light dopant concentration within the (fully depleted) fin channel region, so that the depletion charge negligibly affects the threshold voltage. Note that the results show that WFV has a dominant effect, which is consistent with the previous work, identifying WFV as the dominant contributor to V t variation for the FinFET technology [18]. Overall, SSR FinFETs are less susceptible to random sources of variation and, therefore, are promising for achieving higher IC manufacturing yield. VI. 6T-SRAM CELL PERFORMANCE The 6T-SRAM cell read stability and write ability are gauged by the read static noise margin (SNM) and writeability current (I w ) [25] metrics derived from the butterfly plot and write-n curve generated using the calibrated model mentioned above. The cell beta ratio is defined as the ratio of the n-channel pull-down (PD) transistor drive (ON-state) current to the n-channel pass-gate (PG) transistor drive current. For the planar MOSFET technology, this ratio can be finely tuned by adjusting the drawn channel widths of the PD and PG transistors. For the FinFET technology, it can only be practically tuned coarsely by adjusting the number of fins (connected in parallel between the source and the drain regions) in each device. Better read stability is achieved by using more fins for the PD devices than for the PG devices. Fig. 8 shows the modeled butterfly curves and write-n curves for the FinFET 6T-SRAM cell design, which comprises one fin in each of the p-channel pull-up (PU) transistor, three fins in each of the PD device, and three fins in each of the PG device. Table IV summarizes the read SNM and I w values for different cell designs. It can be seen that the SSR FinFETs provide for better 6T-SRAM cell write ability, because the gamma ratio (PG nfet to PU pfet drive current ratio) for the SSR FinFET technology (1.08) is larger than that for the control FinFET technology (1.06). The read SNM for the SSR FinFET technology is comparable to that for the control FinFET technology, since the cell beta ratio is determined by the ratio of the number of fins in the PD transistor to the number of fins in the PG transistor, which is the same for both technologies. VII. 6T-SRAM YIELD ESTIMATION Variability in transistor performance due to systematic and random sources of variation in the fabrication process can result in a 6T-SRAM cell with read SNM <0 VorI w < 0, which does not function properly, i.e., cell failure. Previous work [19], [26] has shown that the threshold-voltage V t distributions caused by random sources of variations are close to Gaussian. Cell sigma [16] is defined as the minimum total number of standard deviations from the nominal value, for any combination of 18 device parameters (gate length, fin width, and threshold voltage for each of the six transistors in a 6T-SRAM cell), that causes a read disturb error or a write failure. By assuming that 3σ deviation from the mean (nominal) value corresponds to ±10% variation for L gate and for W fin, and accounting for random V t variations due to WFV and RDF, the cell sigma is modeled in a multidimensional variation space. Lower operating voltage is beneficial for reducing power consumption, but can result in lower nominal values of read SNM and/or I w and, therefore, higher probability of cell failure due to variations. The minimum cell operating voltage (V DD,min ) is defined as the lowest operating voltage for which the cell meets the six-sigma yield requirement (for SRAM arrays with greater than 256-Mb capacity) for both read SNM and I w. The methodology established by [16] is used, herein, to determine the read SNM and I w cell sigma values, based

5 1506 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 4, APRIL 2016 TABLE V COMPARISON OF MINIMUM OPERATING VOLTAGE (V DD,min ) FOR VARIOUS FinFET-BASED 6T-SRAM CELL DESIGNS on the sensitivities of these SRAM metrics to each device parameter. Fig. 9 directly compares cell sigmas for the SSR FinFET technology versus control FinFET technology, for the 1-2-1, 1-2-2, and cell designs. Lowest V dd,min is found to be 0.40 V and 0.46 V, respectively, for the SSR FinFET technology and the control FinFET technology. Table V summarizes V dd,min for various SRAM cell designs. It shows that the cell design has the worst V DD,min despite having the best read SNM, as shown in Table IV. This is because V DD,min is actually limited by I w cell sigma because stronger PU devices limit PG devices capability to pull the internal node down to GND. The 1-2-2, 1-3-2, and cell designs implemented with the SSR FinFET technology are projected to be able to scale to operating voltages below 0.50 V. VIII. CONCLUSION An SSR fin channel doping profile enabled by oxygen insertion technology is beneficial for improving device performance (particularly I d,lin by 6.7% for nmos and by 6% for pmos) and for reducing the sensitivity of device performance to process-induced variations. These benefits are provided for superior write ability of 6T-SRAM cells, and are projected to facilitate reductions in the minimum cell operating voltage (by as much as 100 mv as compared with the conventional FinFET technology), to below 0.50 V. Noticeably, the SSR FinFET cell design scales V DD,min to 0.50 V, comparable with the control FinFET cell design. Notably, the SSR FinFET cell design is projected to allow V DD,min to be scaled down to 0.50 V, comparable with the larger control FinFET cell design. Thus, the SSR FinFET technology can provide for 20% savings in SRAM cell area, based on the FinFET layout design rules in [7] and [27]. This paper shows that the bulk-si FinFET technology can extend CMOS scaling beyond the 10-nm node. REFERENCES [1] C. Auth et al., A 22 nm high performance and low-power CMOS technology featuring fully-depleted tri-gate transistors, self-aligned contacts and high density MIM capacitors, in Proc. Symp. VLSI Technol. (VLSIT), Jun. 2012, pp [2] H. Bu, FinFET technology a substrate perspective, in Proc. IEEE Int. SOI Conf. (SOI), Oct. 2011, pp [3] N. Xu et al., Extension of planar bulk n-channel MOSFET scaling with oxygen insertion technology, IEEE Trans. Electron Devices, vol. 61, no. 9, pp , Sep [4] N. Xu et al., MOSFET performance and scalability enhancement by insertion of oxygen layers, in Proc. IEEE Int. Electron Devices Meeting, Dec. 2012, pp [5] Sentaurus Sdevice User s Manual, Synopsys Inc, Mountain View, CA, USA, [6] L. Wilson, International Technology Roadmap for Semiconductors (ITRS). Washington, DC, USA: Semiconductor Industry Association, [7] S. Natarajan et al., A 14 nm logic technology featuring 2 nd -generation FinFET, air-gapped interconnects, self-aligned double patterning and a μm 2 SRAM cell size, in Proc. IEEE Int. Electron Devices Meeting (IEDM), Dec. 2014, pp [8] S.-Y. Wu et al., A 16 nm FinFET CMOS technology for mobile SoC and computing applications, in Proc. IEEE Int. Electron Devices Meeting (IEDM), Dec. 2013, pp [9] W. Xiong et al., Improvement of FinFET electrical characteristics by hydrogen annealing, IEEE Electron Device Lett., vol. 25, no. 8, pp , Aug [10] A. Hokazono, K. Ohuchi, K. Miyano, I. Mizushima, Y. Tsunashima, and Y. Toyoshima, Source/drain engineering for sub-100 nm CMOS using selective epitaxial growth technique, in IEDM Tech. Dig., 2000, pp [11] S. Sun et al., Enable abrupt junction and advanced salicide formation with dynamic surface annealing, Phys. Status Solidi C, vol. 9, no. 12, pp , [12] M. V. Fischetti and S. E. Laux, Band structure, deformation potentials, and carrier mobility in strained Si, Ge, and SiGe alloys, J. Appl. Phys., vol. 80, no. 4, pp , [13] M. G. Ancona and H. F. Tiersten, Macroscopic physics of the silicon inversion layer, Phys. Rev. B, vol. 35, no. 15, pp , [14] K.-H. Kao, A. S. Verhulst, W. G. Vandenberghe, B. Sorée, G. Groeseneken, and K. De Meyer, Direct and indirect band-to-band tunneling in germanium-based TFETs, IEEE Trans. Electron Devices, vol. 59, no. 2, pp , Feb [15] V. Vidya, Thin-body silicon FET devices and technology, Ph.D. dissertation, Dept. Elect. Eng. Comput. Sci., Univ. California, Berkeley, Berkeley, CA, USA, [16] A. E. Carlson, Device and circuit techniques for reducing variation in nanoscale SRAM, Ph.D. dissertation, Dept. Elect. Eng. Comput. Sci., Univ. California, Berkeley, Berkeley, CA, USA, [17] S. Xiong and J. Bokor, Sensitivity of double-gate and FinFET devices to process variations, IEEE Trans. Electron Devices, vol. 50, no. 11, pp , Nov [18] T. Matsukawa et al., Comprehensive analysis of variability sources of FinFET characteristics, in Proc. Symp. VLSI Technol., 2009, pp [19] X. Wang, A. R. Brown, B. Cheng, and A. Asenov, Statistical variability and reliability in nanoscale FinFETs, in Proc. IEEE Int. Electron Devices Meeting (IEDM), Dec. 2011, pp [20] H. F. Dadgour, K. Endo, V. K. De, and K. Banerjee, Grainorientation induced work function variation in nanoscale metal-gate transistors Part I: Modeling, analysis, and experimental validation, IEEE Trans. Electron Devices, vol. 57, no. 10, pp , Oct [21] X. Zhang et al., Physical model of the impact of metal grain work function variability on emerging dual metal gate MOSFETs and its implication for SRAM reliability, in Proc. IEEE Int. Electron Devices Meeting (IEDM), Dec. 2009, pp [22] C. Bencher, Y. Chen, H. Dai, W. Montgomery, and L. Huli, 22 nm half-pitch patterning by CVD spacer self alignment double patterning (SADP), Proc. SPIE, vol. 6924, p E, Mar [23] A. Wettstein, O. Penzin, E. Lyumkis, and W. Fichtner, Random dopant fluctuation modelling with the impedance field method, in Proc. Int. Conf. Simulation Semiconductor Process. Devices, 2003, pp [24] K. El Sayed, E. Lyumkis, and A. Wettstein, Modeling statistical variability with the impedance field method, in Proc. Int. Conf. Simulation Semiconductor Process. Devices (SISPAD), 2012, pp [25] C. Wann et al., SRAM cell design for stability methodology, in Proc. IEEE VLSI-TSA Int. Symp. VLSI Technol. (VLSI-TSA-Tech), Apr. 2005, pp [26] X. Wang, B. Cheng, A. R. Brown, C. Millar, and A. Asenov, Statistical variability in 14-nm node SOI FinFETs and its impact on corresponding 6T-SRAM cell design, in Proc. Eur. Solid-State Device Res. Conf. (ESSDERC), 2012, pp [27] M. Yabuuchi et al., 16 nm FinFET high-k/metal-gate 256-kbit 6T SRAM macros with wordline overdriven assist, in Proc. IEEE Int. Electron Devices Meeting (IEDM), Dec. 2014, pp

6 ZHANG et al.: ANALYSIS OF 7/8-nm BULK-Si FinFET TECHNOLOGIES 1507 Xi Zhang (SM 14) received the B.S. degree from the School of Physical Electronics, University of Electronic Science and Technology of China, Chengdu, China, in She is currently pursuing the Ph.D. degree in electrical engineering with the Department of Electrical Engineering and Computer Sciences, University of California at Berkeley, Berkeley, CA, USA. Hideki Takeuchi (M 00) received the B.E. and M.E. degrees from The University of Tokyo, Tokyo, Japan, in 1988 and 1990, respectively. He has been involved in the DRAM product development and various research projects on advanced CMOS, memories, and MEMS processes/devices. He is currently with Mears Technologies Inc., Newton, MA, USA, where he is involved in process integration of the oxygen-insertion technology (MST) for various CMOS products. Daniel Connelly (M 16) received the Ph.D. degree from Stanford University, Stanford, CA, USA. He was involved in the advanced CMOS technology development at Acorn Technologies, La Jolla, CA, USA, and then in the device simulation and modeling at Synopsys, Mountain View, CA, USA. He is currently a Visiting Scholar with the University of California at Berkeley, Berkeley, CA, USA. Marek Hytha (SM 14) received the M.Sc. and Ph.D. degrees in condensed matter physics from Charles University, Prague, Czech Republic, in 1988 and 1997, respectively. He spent five years with Charles University, as an Assistant Professor. He has been with Mears Technologies Inc., Newton, MA, USA, since 2003, where he is currently the Chief Scientist. Robert J. Mears, photograph and biography not available at the time of publication. Peng Zheng (S 11) received the B.S. degree in microelectronics from Tianjin University, Tianjin, China, in 2010, and the M.S. degree in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, GA, USA, in He is currently pursuing the Ph.D. degree in electrical engineering with the Department of Electrical Engineering and Computer Sciences, University of California at Berkeley, Berkeley, CA, USA. Tsu-Jae King Liu (SM 00 F 07) received the B.S., M.S., and Ph.D. degrees from Stanford University, Stanford, MA, USA, all in electrical engineering. She is currently the TSMC Distinguished Professor of microelectronics with the Department of Electrical Engineering and Computer Sciences, University of California at Berkeley, Berkeley, CA, USA. Her current research interests include energy-efficient IC devices.

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

FinFETs have emerged as the solution to short channel

FinFETs have emerged as the solution to short channel IEEE TRANSACTIONS ON ELECTRON DEVICES 1 Fin Shape Impact on FinFET Leakage With Application to Multithreshold and Ultralow-Leakage FinFET Design Brad D. Gaynor and Soha Hassoun, Senior Member, IEEE Abstract

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs

Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs 1838 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 47, NO. 10, OCTOBER 2000 Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS Tsu-Jae King, Yang-Kyu Choi, Pushkar Ranade^ and Leland Chang Electrical Engineering and Computer Sciences Dept., ^Materials Science and Engineering

More information

Semiconductor TCAD Tools

Semiconductor TCAD Tools Device Design Consideration for Nanoscale MOSFET Using Semiconductor TCAD Tools Teoh Chin Hong and Razali Ismail Department of Microelectronics and Computer Engineering, Universiti Teknologi Malaysia,

More information

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Oleg Semenov, Andrzej Pradzynski * and Manoj Sachdev Dept. of Electrical and Computer Engineering,

More information

Drain. Drain. [Intel: bulk-si MOSFETs]

Drain. Drain. [Intel: bulk-si MOSFETs] 1 Introduction For more than 40 years, the evolution and growth of very-large-scale integration (VLSI) silicon-based integrated circuits (ICs) have followed from the continual shrinking, or scaling, of

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

Strain Engineering for Future CMOS Technologies

Strain Engineering for Future CMOS Technologies Strain Engineering for Future CMOS Technologies S. S. Mahato 1, T. K. Maiti 1, R. Arora 2, A. R. Saha 1, S. K. Sarkar 3 and C. K. Maiti 1 1 Dept. of Electronics and ECE, IIT, Kharagpur 721302, India 2

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

SCALING AND NUMERICAL SIMULATION ANALYSIS OF 50nm MOSFET INCORPORATING DIELECTRIC POCKET (DP-MOSFET)

SCALING AND NUMERICAL SIMULATION ANALYSIS OF 50nm MOSFET INCORPORATING DIELECTRIC POCKET (DP-MOSFET) SCALING AND NUMERICAL SIMULATION ANALYSIS OF 50nm MOSFET INCORPORATING DIELECTRIC POCKET (DP-MOSFET) Zul Atfyi Fauzan M. N., Ismail Saad and Razali Ismail Faculty of Electrical Engineering, Universiti

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Journal of Electron Devices, Vol. 18, 2013, pp. 1537-1542 JED [ISSN: 1682-3427 ] DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Suman Lata Tripathi and R. A.

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN Performance Evaluation and Comparison of Ultra-thin Bulk (UTB), Partially Depleted and Fully Depleted SOI MOSFET using Silvaco TCAD Tool Seema Verma1, Pooja Srivastava2, Juhi Dave3, Mukta Jain4, Priya

More information

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors Contemporary Engineering Sciences, Vol. 6, 2013, no. 6, 273-284 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2013.3632 Study of Pattern Area of Logic Circuit with Tunneling Field-Effect

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

Variation Analysis of CMOS Technologies Using Surface-Potential MOSFET Model

Variation Analysis of CMOS Technologies Using Surface-Potential MOSFET Model Invited paper Variation Analysis of CMOS Technologies Using Surface-Potential MOSFET Model Hans Jürgen Mattausch, Akihiro Yumisaki, Norio Sadachika, Akihiro Kaya, Koh Johguchi, Tetsushi Koide, and Mitiko

More information

Reliability of deep submicron MOSFETs

Reliability of deep submicron MOSFETs Invited paper Reliability of deep submicron MOSFETs Francis Balestra Abstract In this work, a review of the reliability of n- and p-channel Si and SOI MOSFETs as a function of gate length and temperature

More information

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation Australian Journal of Basic and Applied Sciences, 2(3): 406-411, 2008 ISSN 1991-8178 Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation 1 2 3 R. Muanghlua, N. Vittayakorn and A.

More information

EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS

EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS B. Lakshmi 1 and R. Srinivasan 2 1 School of Electronics Engineering, VIT University, Chennai,

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Performance Modeling, Parameter Extraction Technique and Statistical Modeling of Nano-scale MOSFET for VLSI Circuit Simulation

Performance Modeling, Parameter Extraction Technique and Statistical Modeling of Nano-scale MOSFET for VLSI Circuit Simulation Performance Modeling, Parameter Extraction Technique and Statistical Modeling of Nano-scale MOSFET for VLSI Circuit Simulation Dr. Soumya Pandit Institute of Radio Physics and Electronics University of

More information

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann*, K. Johnson#,

More information

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5 Eigen # Gate Gate Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET Lecture 5 Thin-Body MOSFET Carrier Transport quantum confinement effects low-field mobility: Orientation and Si Thickness

More information

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP) Science in China Series E: Technological Sciences 2009 SCIENCE IN CHINA PRESS www.scichina.com tech.scichina.com Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS ABSTRACT J.Shailaja 1, Y.Priya 2 1 ECE Department, Sphoorthy Engineering College (India) 2 ECE,Sphoorthy Engineering College, (India) The

More information

6.012 Microelectronic Devices and Circuits

6.012 Microelectronic Devices and Circuits Page 1 of 13 YOUR NAME Department of Electrical Engineering and Computer Science Massachusetts Institute of Technology 6.012 Microelectronic Devices and Circuits Final Eam Closed Book: Formula sheet provided;

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

Lecture 8. Thin-Body MOSFET s Process II. Source/Drain Technologies Threshold Voltage Engineering

Lecture 8. Thin-Body MOSFET s Process II. Source/Drain Technologies Threshold Voltage Engineering Atom Probe Tomography for Dopants in FinFETs Lecture 8 A.K. Kambham (imec), VLSI-T 2012 Thin-Body MOSFET s Process II Source/Drain Technologies Threshold Voltage Engineering Reading: multiple research

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

CMOS Scaling and Variability

CMOS Scaling and Variability WIMNACT WS & IEEE EDS Mini-colloquim on Nano-CMOS Technology January 3, 212, TITECH, Japan CMOS Scaling and Variability 212. 1. 3 NEC Tohru Mogami WIMNACT WS 212, January 3, Titech 1 Acknowledgements I

More information

Process Variability and the SUPERAID7 Approach

Process Variability and the SUPERAID7 Approach Process Variability and the SUPERAID7 Approach Jürgen Lorenz Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie IISB, Erlangen, Germany ESSDERC/ ESSCIRC Workshop Process Variations

More information

2014, IJARCSSE All Rights Reserved Page 1352

2014, IJARCSSE All Rights Reserved Page 1352 Volume 4, Issue 3, March 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Double Gate N-MOSFET

More information

Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Effect over Bulk MOSFET at 20nm

Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Effect over Bulk MOSFET at 20nm RESEARCH ARTICLE OPEN ACCESS Design & Performance Analysis of DG- for Reduction of Short Channel Effect over Bulk at 20nm Ankita Wagadre*, Shashank Mane** *(Research scholar, Department of Electronics

More information

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors.

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors. On May 4, 2011, Intel Corporation announced what it called the most radical shift in semiconductor technology in 50 years. A new 3 dimensional transistor design will enable the production of integrated

More information

EFFECT OF THRESHOLD VOLTAGE AND CHANNEL LENGTH ON DRAIN CURRENT OF SILICON N-MOSFET

EFFECT OF THRESHOLD VOLTAGE AND CHANNEL LENGTH ON DRAIN CURRENT OF SILICON N-MOSFET EFFECT OF THRESHOLD VOLTAGE AND CHANNEL LENGTH ON DRAIN CURRENT OF SILICON N-MOSFET A.S.M. Bakibillah Nazibur Rahman Dept. of Electrical & Electronic Engineering, American International University Bangladesh

More information

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 53-60 International Research Publication House http://www.irphouse.com Design and Analysis of Double Gate

More information

Influence of Fin Shape and Temperature on Conventional and Strained MuGFETs Analog Parameters

Influence of Fin Shape and Temperature on Conventional and Strained MuGFETs Analog Parameters 02 (49)-AF:Modelo-AF 8/20/11 6:25 AM Page 94 Influence of Fin Shape and Temperature on Conventional and Strained MuGFETs Analog Parameters Rudolf Theoderich Bühler 1, Renato Giacomini 1,2 and João Antonio

More information

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 5 November 2015 ISSN (online): 2349-784X Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

DUAL MATERIAL PILE GATE APPROACH FOR LOW LEAKAGE FINFET. Sanjay S. Chopade 1*, Dinesh V. Padole 1

DUAL MATERIAL PILE GATE APPROACH FOR LOW LEAKAGE FINFET. Sanjay S. Chopade 1*, Dinesh V. Padole 1 International Journal of Technology (2017) 1: 168-176 ISSN 2086-9614 IJTech 2017 DUAL MATERIAL PILE GATE APPROACH FOR LOW LEAKAGE FINFET Sanjay S. Chopade 1*, Dinesh V. Padole 1 1 Department of Electronics

More information

Design of 45 nm Fully Depleted Double Gate SOI MOSFET

Design of 45 nm Fully Depleted Double Gate SOI MOSFET Design of 45 nm Fully Depleted Double Gate SOI MOSFET 1. Mini Bhartia, 2. Shrutika. Satyanarayana, 3. Arun Kumar Chatterjee 1,2,3. Thapar University, Patiala Abstract Advanced MOSFETS such as Fully Depleted

More information

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 Dummy Gate-Assisted n-mosfet Layout for a Radiation-Tolerant Integrated Circuit Min Su Lee and Hee Chul Lee Abstract A dummy gate-assisted

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET 110 6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET An experimental study has been conducted on the design of fully depleted accumulation mode SOI (SIMOX) MOSFET with regard to hot carrier

More information

An Analytical model of the Bulk-DTMOS transistor

An Analytical model of the Bulk-DTMOS transistor Journal of Electron Devices, Vol. 8, 2010, pp. 329-338 JED [ISSN: 1682-3427 ] Journal of Electron Devices www.jeldev.org An Analytical model of the Bulk-DTMOS transistor Vandana Niranjan Indira Gandhi

More information

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Chenming Hu and Je Min Park Univ. of California, Berkeley -1- Outline Introduction Background and Motivation MOSFETs with Vacuum-Spacer

More information

Journal of Electron Devices, Vol. 20, 2014, pp

Journal of Electron Devices, Vol. 20, 2014, pp Journal of Electron Devices, Vol. 20, 2014, pp. 1786-1791 JED [ISSN: 1682-3427 ] ANALYSIS OF GIDL AND IMPACT IONIZATION WRITING METHODS IN 100nm SOI Z-DRAM Bhuwan Chandra Joshi, S. Intekhab Amin and R.

More information

A novel GAAC FinFET transistor: device analysis, 3D TCAD simulation, and fabrication

A novel GAAC FinFET transistor: device analysis, 3D TCAD simulation, and fabrication Vol.30, No.1 Journal of Semiconductors January 2009 A novel GAAC FinFET transistor: device analysis, 3D TCAD simulation, and fabrication Xiao Deyuan( 肖德元 ) 1,2,, Wang Xi( 王曦 ) 1, Yuan Haijiang( 袁海江 ) 3,

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Fully Depleted Devices

Fully Depleted Devices 4 Fully Depleted Devices FDSOI and FinFET Bruce Doris, Ali Khakifirooz, Kangguo Cheng, and Terence Hook CONTENTS 4.1 Overview... 71 4.2 Introduction: Challenges of Conventional CMOS Technology...72 4.3

More information

RF-CMOS Performance Trends

RF-CMOS Performance Trends 1776 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 8, AUGUST 2001 RF-CMOS Performance Trends Pierre H. Woerlee, Mathijs J. Knitel, Ronald van Langevelde, Member, IEEE, Dirk B. M. Klaassen, Luuk F.

More information

Characterization of Variability in Deeply-Scaled Fully Depleted SOI Devices

Characterization of Variability in Deeply-Scaled Fully Depleted SOI Devices Characterization of Variability in Deeply-Scaled Fully Depleted SOI Devices Aikaterini Papadopoulou Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No.

More information

FINFET BASED SRAM DESIGN FOR LOW POWER APPLICATIONS

FINFET BASED SRAM DESIGN FOR LOW POWER APPLICATIONS FINFET BASED SRAM DESIGN FOR LOW POWER APPLICATIONS SHRUTI OZA BVU College of Engineering, Pune-43 E-mail: Shruti.oza11@gmail.com Abstract- Industry demands Low-Power and High- Performance devices now-a-days.

More information

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 831 A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design Gerhard Knoblinger, Member, IEEE,

More information

Tunneling Field Effect Transistors for Low Power ULSI

Tunneling Field Effect Transistors for Low Power ULSI Tunneling Field Effect Transistors for Low Power ULSI Byung-Gook Park Inter-university Semiconductor Research Center and School of Electrical and Computer Engineering Seoul National University Outline

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

FUNDAMENTALS OF MODERN VLSI DEVICES

FUNDAMENTALS OF MODERN VLSI DEVICES 19-13- FUNDAMENTALS OF MODERN VLSI DEVICES YUAN TAUR TAK H. MING CAMBRIDGE UNIVERSITY PRESS Physical Constants and Unit Conversions List of Symbols Preface page xi xiii xxi 1 INTRODUCTION I 1.1 Evolution

More information

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Seyab Khan Said Hamdioui Abstract Bias Temperature Instability (BTI) and parameter variations are threats to reliability

More information

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology

Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology Analysis and Simulation of a Low-Leakage 6T FinFET SRAM Cell Using MTCMOS Technique at 45 nm Technology Shyam Sundar Sharma 1, Ravi Shrivastava 2, Nikhil Saxenna 3 1Research Scholar Dept. of ECE, ITM,

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Performance Evaluation of MISISFET- TCAD Simulation

Performance Evaluation of MISISFET- TCAD Simulation Performance Evaluation of MISISFET- TCAD Simulation Tarun Chaudhary Gargi Khanna Rajeevan Chandel ABSTRACT A novel device n-misisfet with a dielectric stack instead of the single insulator of n-mosfet

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

THRESHOLD VOLTAGE CONTROL SCHEMES

THRESHOLD VOLTAGE CONTROL SCHEMES THRESHOLD VOLTAGE CONTROL SCHEMES IN FINFETS V. Narendar 1, Ramanuj Mishra 2, Sanjeev Rai 3, Nayana R 4 and R. A. Mishra 5 Department of Electronics & Communication Engineering, MNNIT-Allahabad Allahabad-211004,

More information

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Behnam Amelifard Department of EE-Systems University of Southern California Los Angeles, CA (213)

More information

40nm Node CMOS Platform UX8

40nm Node CMOS Platform UX8 FUKAI Toshinori, IKEDA Masahiro, TAKAHASHI Toshifumi, NATSUME Hidetaka Abstract The UX8 is the latest process from NEC Electronics. It uses the most advanced exposure technology to achieve twice the gate

More information

Analog/Mixed-Signal Design in FinFET Technologies

Analog/Mixed-Signal Design in FinFET Technologies Analog/Mixed-Signal Design in FinFET Technologies A.L.S. Loke, E. Terzioglu, A.A. Kumar, T.T. Wee, K. Rim, D. Yang, B. Yu, L. Ge, L. Sun, J.L. Holland, C. Lee, S. Yang, J. Zhu, J. Choi, H. Lakdawala, Z.

More information

Effect of Channel Doping Concentration on the Impact ionization of n- Channel Fully Depleted SOI MOSFET

Effect of Channel Doping Concentration on the Impact ionization of n- Channel Fully Depleted SOI MOSFET International Journal of Engineering Works Kambohwell Publisher Enterprises Vol. 2, Issue 2, PP. 18-22, Feb. 2015 www.kwpublisher.com Effect of Channel Doping Concentration on the Impact ionization of

More information

Fujitsu Semiconductor and SuVolta Demonstrate Ultra-low-voltage Operation of SRAM Down to ~0.4V

Fujitsu Semiconductor and SuVolta Demonstrate Ultra-low-voltage Operation of SRAM Down to ~0.4V December 7, Fujitsu Semiconductor Limited SuVolta, Inc. Fujitsu Semiconductor and SuVolta Demonstrate Ultra-low-voltage Operation of SRAM Down to ~.4V YOKOHAMA, Japan, and LOS GATOS, Calif., December 7,

More information

Design of Optimized Digital Logic Circuits Using FinFET

Design of Optimized Digital Logic Circuits Using FinFET Design of Optimized Digital Logic Circuits Using FinFET M. MUTHUSELVI muthuselvi.m93@gmail.com J. MENICK JERLINE jerlin30@gmail.com, R. MARIAAMUTHA maria.amutha@gmail.com I. BLESSING MESHACH DASON blessingmeshach@gmail.com.

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

TCAD SIMULATION STUDY OF FINFET BASED LNA

TCAD SIMULATION STUDY OF FINFET BASED LNA Research Article TCAD SIMULATION STUDY OF FINFET BASED LNA K K Nagarajan 1, N Vinodh Kumar 2 and R Srinivasan 2 Address for Correspondence 1 Department of Computer Science, SSN College of Engineering,

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

Sustaining the Si Revolution: From 3D Transistors to 3D Integration

Sustaining the Si Revolution: From 3D Transistors to 3D Integration Sustaining the Si Revolution: From 3D Transistors to 3D Integration Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley, CA USA February 23, 2015

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Understanding Fabrication Imperfections Layout of MOS Transistor Matching Theory and Mismatches Device Matching, Interdigitation

More information

Optimization of Double Gate Vertical Channel Tunneling Field Effect Transistor (DVTFET) with Dielectric Sidewall

Optimization of Double Gate Vertical Channel Tunneling Field Effect Transistor (DVTFET) with Dielectric Sidewall JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.2.192 ISSN(Online) 2233-4866 Optimization of Double Gate Vertical Channel

More information

Research Needs for Device Sciences Modeling and Simulation (May 6, 2005)

Research Needs for Device Sciences Modeling and Simulation (May 6, 2005) Research Needs for Device Sciences Modeling and Simulation (May 6, 2005) SRC Device Sciences 2005 Modeling and Simulation Task Force Contributing organizations: Axcelis, Freescale, IBM, Intel, LSI, SRC,

More information

Future MOSFET Devices using high-k (TiO 2 ) dielectric

Future MOSFET Devices using high-k (TiO 2 ) dielectric Future MOSFET Devices using high-k (TiO 2 ) dielectric Prerna Guru Jambheshwar University, G.J.U.S. & T., Hisar, Haryana, India, prernaa.29@gmail.com Abstract: In this paper, an 80nm NMOS with high-k (TiO

More information

SPECIAL REPORT SOI Wafer Technology for CMOS ICs

SPECIAL REPORT SOI Wafer Technology for CMOS ICs SPECIAL REPORT SOI Wafer Technology for CMOS ICs Robert Simonton President, Simonton Associates Introduction: SOI (Silicon On Insulator) wafers have been used commercially as starting substrates for several

More information

Power MOSFET Zheng Yang (ERF 3017,

Power MOSFET Zheng Yang (ERF 3017, ECE442 Power Semiconductor Devices and Integrated Circuits Power MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Evolution of low-voltage (

More information

32nm Technology and Beyond

32nm Technology and Beyond 32nm Technology and Beyond Paolo Gargini Chairman ITRS IEEE Fellow Director of Technology Strategy Intel Fellow ISS Europe 2009 P. Gargini 1 Agenda Equivalent Scaling 45nm Technology summary 32nm Technology

More information

Impact of Gate Direct Tunneling Current on Circuit Performance: A Simulation Study

Impact of Gate Direct Tunneling Current on Circuit Performance: A Simulation Study IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 12, DECEMBER 2001 2823 Impact of Gate Direct Tunneling Current on Circuit Performance: A Simulation Study Chang-Hoon Choi, Student Member, IEEE, Ki-Young

More information