EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 4

Size: px
Start display at page:

Download "EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 4"

Transcription

1 LABORATOIRE DE SYSTEMES MICROELECTRONIQUES EPFL STI IMM LSM ELD Station nº 11 CH-1015 Lausanne Téléphone : Fax : Site web : lsm@epfl.ch lsm.epfl.ch EDA-BASED DESIGN TP-2005/2006: EDABD2005-TP04.doc V1.0 LSM November 2005 EDA-BASED DESIGN PRACTICAL LABORATORY SESSION No. 4 First Name Family Name Date Evaluation Document Visa Evaluation Design Visa THIS REPORT MUST BE DELIVERED NO LATER THAN November 15 th, OBJECTIVES The goal of this session is to design a 1-bit full adder (FA), dimension its transistors and simulate it to meet certain specifications. As a prerequisite to building the full adder, you will need to read the following paper (can be downloaded from M. Alioto and G. Palumbo, Analysis and comparison on full adder block in submicron technology, IEEE Transactions on VLSI Systems, vol.10, No.6, December From this reference you will take the Mirror topology and size its transistors to meet the specifications detailed in this report. Essentially, these specifications assume that this full adder will be cascaded with other full adders to finally build an 8-bit adder. In TP5 you will layout the full adder, and then re-simulate the extracted circuit from the layout. On the third week (TP6) the 8-bit carry ripple adder will be built using the outcome of the previous TP's. After TP6, you will be asked to submit a report summarizing your results of TP4 through TP6. 1/7

2 2. PREREQUISITES AND READINGS Q1: (to be answered before the lab session) Based on the reference given above fill in the following table (consider the designs optimized for minimum PDP): Topology Advantages Disadvantages CMOS Full Adder Mirror Full Adder LP Full Adder 3. SCHEMATIC ENTRY Figure 3 shows the testbench used to evaluate the full adder cell. To obtain realistic input waveforms, inverters have been inserted between the ideal sources and inputs A and B, and input C i is driven by an identical FA cell in carry propagate mode (AB = 01 or 10). A 4x minimum size inverter and the C i of an identical stage load the outputs S and C O respectively. To build the testbench shown in Figure 3 proceed as follows: Draw the schematic and the symbol for the inverter used in the testbench. The transistor sizes to use are given in Figure 2. To avoid cluttered schematics, don t put pins for VDD and GND, but use the blocks vdd_inherit and gnd_inherit from library basic, as shown in Figure 2. Using these blocks allows connecting the supply of the DUT (device under test) to other nodes than the global supply nodes (vdd! and gnd!). This can be useful in a design with several different supplies; here we will use it to measure the consumption of the DUT alone. Draw the schematic and the symbol of the Mirror Full-Adder (Fig. 2a of Alioto and Palumbo paper). Leave the transistor sizes at their default values for now; you will do the transistor sizing later. As for the inverter, use vdd_inherit and gnd_inherit for the supplies. Draw the schematic of the testbench according to Figure 3. Use vpulse cells from library analoglib for the input stimulus sources (instances VA, VB, and VC). Voltage source V4 is used to measure the current drawn by the DUT. Make sure to name the net vdd_dut as shown in the figure. To connect the power supply of the DUT to the node vdd_dut, add a netset property to the DUT instance as follows: Open the Edit Object Properties dialog window of the DUT. Click on the Add button in the dialog window to add a property. Complete the pop-up dialog as shown in Figure 1. Figure 1: Dialogs for setting netset property 2/7

3 Figure 2: Inverter schematic DUT Figure 3: Testbench for Full Adder 3/7

4 4. TRANSISTOR SIZING Size the transistors of the FA to meet the following input-to-output propagation delay specifications: C in to C o (carry propagation): Any input (A, B, or C i ) to any output (S or C o ): < 0.5 ns < 1ns As the load on the outputs is small and the speed specifications are relaxed, a simple sizing strategy is to start with minimum size transistors, and then increase the sizes as necessary, starting from the outputs. (To minimize the area and power of the FA, the transistors should not be larger than needed to reach the specs.) When there are n stacked transistors in the pull-down or pull-up network, make these transistors n times wider. Make the PMOS 2.5x wider than the corresponding NMOS. Once you have done an initial sizing: Run a transient simulation to verify the functionality of the FA cell. Figure 4 shows example input waveforms for the functional verification. (Use 100 ps rise and fall time, and 0 V and 3.3 V for the logic levels.) Adjust the sizes of the inverters generating C o and S (inside the FA) so that they can drive the load (rise and fall times 200ps). If you find it necessary to increase the inverter size by a large factor (i.e. more than 3) you may also have to scale the transistors driving these inverters. To verify the fall and rise times of C o and S, and to measure the carry propagation delay, use the stimulus shown in Figure 5. A B C i 10 ns Figure 4: Stimulus for functional verification A B C i 1 ns Figure 5: Stimulus for carry propagation measurement 4/7

5 A sort of eye-diagram can be used to visualize the carry propagation delays of all four C o transitions. The following expression (in the calculator) will display the diagram for output C o (you can use the special functions menu to generate this): eyediagram( VT( /Co ) 1e-09 8e-09 2e-09 ) Overlaying the diagrams for C o and C i will give a plot similar to that shown in Figure 6. Save this eyediagram for the final report. Q2: What is the maximum carry propagation delay of your FA cell? Figure 6: Eye Diagram for Carry Propagation 5. DESIGN VERIFICATION To verify that the delay specifications are fulfilled for all transitions, you have to simulate all possible transitions that cause a change of the outputs. To do this, proceed as follows: Change the stimulus sources in the testbench (VA,VB,VC) to vpwlf sources (analoglib library). Set the PWL file name parameter of these sources to the names given in Table 1. Adjust the Delay time parameter of source VC such that all input signal transitions arrive at the same time at the DUT inputs. As the propagation delay of an inverter is shorter than the carry propagation delay of the FA, you will need to set it to a negative value. To find out the exact value to put, you can first run a simulation (see next point) and inspect the waveforms at nodes A, B, and C i. 5/7

6 Source Name VA VB VC Stimulus file path <your home directory>/fa_stim_a.scs <your home directory>/fa_stim_b.scs <your home directory>/fa_stim_c.scs Table 1: PWL file names Set up a transient simulation with a stop time of 102 ns. To measure the power consumption of the FA, save the current through voltage source V4. Run the simulation. Use the calculator to visualize results: Construct an eye diagram for all inputs and outputs as described in the previous section. Save the calculator expressions as output expressions so they will be automatically plotted after each simulation run (Figure 7). In the waveform window, use Curves Edit Assign to Y Axis to display all diagrams on the same y-axis. Figure 7: Saving output expression for eye diagram Adjust the transistor sizing if you find that the specifications are not met. When all the specifications are met, save a screenshot of the following two eye diagrams for your final report and complete the table of Q3. Figure 8 shows an example eye diagram. 1) Eye diagram with all inputs (A,B,C i ) and S 2) Eye diagram with all inputs and Co Q3: Report the average power consumption and the measured delays in the following table: Average Power (in µw) Propagation delay (in ps) Transition max min S falling S rising C o falling C o rising 6/7

7 Figure 8: Example eye diagram Do NOT forget to answer all questions save all screenshots for the final report return this document to the assistants in your room at the end of the session. 7/7

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Jan M. Rabaey Homework #1: Circuit Simulation EECS 141 Due Friday, January 29, 5pm, box in 240

More information

Lab 2: Basic Boolean Circuits. Brittany Duffy EE 330- Integrated Electronics Lab Section B Professor Randy Geiger 1/31/13

Lab 2: Basic Boolean Circuits. Brittany Duffy EE 330- Integrated Electronics Lab Section B Professor Randy Geiger 1/31/13 Lab 2: Basic Boolean Circuits Brittany Duffy EE 330- Integrated Electronics Lab Section B Professor Randy Geiger 1/31/13 Introduction The main goal of this lab was to become familiarized with the methods

More information

Analysis and Comparison on Full Adder Block in Submicron Technology By: Massimo Alioto and Gaetano Palumbo. Krystina Tabangcura 7/25/11

Analysis and Comparison on Full Adder Block in Submicron Technology By: Massimo Alioto and Gaetano Palumbo. Krystina Tabangcura 7/25/11 Analysis and Comparison on Full Adder Block in Submicron Technology By: Massimo Alioto and Gaetano Palumbo Krystina Tabangcura 7/25/11 Outline Single-bit Full Adder Different Topologies Simulation Setup

More information

EECS 312: Digital Integrated Circuits Lab Project 1 Introduction to Schematic Capture and Analog Circuit Simulation

EECS 312: Digital Integrated Circuits Lab Project 1 Introduction to Schematic Capture and Analog Circuit Simulation EECS 312: Digital Integrated Circuits Lab Project 1 Introduction to Schematic Capture and Analog Circuit Simulation Teacher: Robert Dick GSI: Shengshuo Lu Assigned: 5 September 2013 Due: 17 September 2013

More information

Schematic and Layout Simulation Exercise

Schematic and Layout Simulation Exercise University of California, Berkeley EE141 Fall 2009 Laboratory Exercise 4 Schematic and Layout Simulation Exercise The objective of this laboratory exercise is to walk you through the process of simulating

More information

Adder Design and Analysis

Adder Design and Analysis Adder Design and Analysis University of Washington EE 477 Zach Pritchett and Cody Hogan Winter 2012 Total Area 8.69 µm 2 Worst Case Delay ns FOM x 10 - Table of Contents 1 Introduction... 2 2 Design...

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

Simulation using Tutorial Verilog XL Release Date: 02/12/2005

Simulation using Tutorial Verilog XL Release Date: 02/12/2005 Simulation using Tutorial - 1 - Logic Simulation using Verilog XL: This tutorial includes one way of simulating digital circuits using Verilog XL. Here we have taken an example of two cascaded inverters.

More information

EEC 116 Fall 2011 Lab #2: Analog Simulation Tutorial

EEC 116 Fall 2011 Lab #2: Analog Simulation Tutorial EEC 116 Fall 2011 Lab #2: Analog Simulation Tutorial Dept. of Electrical and Computer Engineering University of California, Davis Issued: September 28, 2011 Due: October 12, 2011, 4PM Reading: Rabaey Chapters

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

Figure 1. Main window (Common Interface Window), CIW opens and from the pull down menus you can start your design. Figure 2.

Figure 1. Main window (Common Interface Window), CIW opens and from the pull down menus you can start your design. Figure 2. Running Cadence Once the Cadence environment has been setup you can start working with Cadence. You can run cadence from your directory by typing Figure 1. Main window (Common Interface Window), CIW opens

More information

A SUBSTRATE BIASED FULL ADDER CIRCUIT

A SUBSTRATE BIASED FULL ADDER CIRCUIT International Journal on Intelligent Electronic System, Vol. 8 No.. July 4 9 A SUBSTRATE BIASED FULL ADDER CIRCUIT Abstract Saravanakumar C., Senthilmurugan S.,, Department of ECE, Valliammai Engineering

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

Ultra Low Power Consumption Military Communication Systems

Ultra Low Power Consumption Military Communication Systems Ultra Low Power Consumption Military Communication Systems Sagara Pandu Assistant Professor, Department of ECE, Gayatri College of Engineering Visakhapatnam-530048. ABSTRACT New military communications

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 COMPARATIVE

More information

ECE 683 Project Report. Winter Professor Steven Bibyk. Team Members. Saniya Bhome. Mayank Katyal. Daniel King. Gavin Lim.

ECE 683 Project Report. Winter Professor Steven Bibyk. Team Members. Saniya Bhome. Mayank Katyal. Daniel King. Gavin Lim. ECE 683 Project Report Winter 2006 Professor Steven Bibyk Team Members Saniya Bhome Mayank Katyal Daniel King Gavin Lim Abstract This report describes the use of Cadence software to simulate logic circuits

More information

Design Of Level Shifter By Using Multi Supply Voltage

Design Of Level Shifter By Using Multi Supply Voltage Design Of Level Shifter By Using Multi Supply Voltage Sowmiya J. 1, Karthika P.S 2, Dr. S Uma Maheswari 3, Puvaneswari G 1M. E. Student, Dept. of Electronics and Communication Engineering, Coimbatore Institute

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Design of Adders with Less number of Transistor

Design of Adders with Less number of Transistor Design of Adders with Less number of Transistor Mohammed Azeem Gafoor 1 and Dr. A R Abdul Rajak 2 1 Master of Engineering(Microelectronics), Birla Institute of Technology and Science Pilani, Dubai Campus,

More information

CMOS Inverter & Ring Oscillator

CMOS Inverter & Ring Oscillator CMOS Inverter & Ring Oscillator Theory: In this Lab we will implement a CMOS inverter and then use it as a building block for a Ring Oscillator. MOSfets (Metal Oxide Semiconductor Field Effect Transistors)

More information

ET 304A Laboratory Tutorial-Circuitmaker For Transient and Frequency Analysis

ET 304A Laboratory Tutorial-Circuitmaker For Transient and Frequency Analysis ET 304A Laboratory Tutorial-Circuitmaker For Transient and Frequency Analysis All circuit simulation packages that use the Pspice engine allow users to do complex analysis that were once impossible to

More information

Curve Tracer Laboratory Assistant Using the Analog Discovery Module as A Curve Tracer

Curve Tracer Laboratory Assistant Using the Analog Discovery Module as A Curve Tracer Curve Tracer Laboratory Assistant Using the Analog Discovery Module as A Curve Tracer The objective of this lab is to become familiar with methods to measure the dc current-voltage (IV) behavior of diodes

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS Neeta Pandey 1, Kirti Gupta 2, Stuti Gupta 1, Suman Kumari 1 1 Dept. of Electronics and Communication, Delhi Technological University, New Delhi (India) 2

More information

Design and Analysis of Row Bypass Multiplier using various logic Full Adders

Design and Analysis of Row Bypass Multiplier using various logic Full Adders Design and Analysis of Row Bypass Multiplier using various logic Full Adders Dr.R.Naveen 1, S.A.Sivakumar 2, K.U.Abhinaya 3, N.Akilandeeswari 4, S.Anushya 5, M.A.Asuvanti 6 1 Associate Professor, 2 Assistant

More information

Faculty of Engineering 4 th Year, Fall 2010

Faculty of Engineering 4 th Year, Fall 2010 4. Inverter Schematic a) After you open the previously created Inverter schematic, an empty window appears where you should place your components. To place an NMOS, select Add- >Instance or use shortcut

More information

Comparison And Performance Analysis Of Phase Frequency Detector With Charge Pump And Voltage Controlled Oscillator For PLL In 180nm Technology

Comparison And Performance Analysis Of Phase Frequency Detector With Charge Pump And Voltage Controlled Oscillator For PLL In 180nm Technology IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 4, Ver. I (Jul - Aug. 2015), PP 22-30 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Comparison And Performance Analysis

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

Design and Simulation of RF CMOS Oscillators in Advanced Design System (ADS)

Design and Simulation of RF CMOS Oscillators in Advanced Design System (ADS) Design and Simulation of RF CMOS Oscillators in Advanced Design System (ADS) By Amir Ebrahimi School of Electrical and Electronic Engineering The University of Adelaide June 2014 1 Contents 1- Introduction...

More information

r 2 ISSN Multiplier can large product bits in operation. process for Multiplication In is composed adder carry and of Tree Multiplier

r 2 ISSN Multiplier can large product bits in operation. process for Multiplication In is composed adder carry and of Tree Multiplier Implementation Comparison of Tree Multiplier using Different Circuit Techniques Subhag Yadav, Vipul Bhatnagar, Department of Electronics Communication, Inderprastha Engineering College, UPTU, Ghaziabad,

More information

OPTIMIZATION OF LOW POWER ADDER CELLS USING 180NM TG TECHNOLOGY

OPTIMIZATION OF LOW POWER ADDER CELLS USING 180NM TG TECHNOLOGY OPTIMIZATION OF LOW POWER ADDER CELLS USING 180NM TG TECHNOLOGY Nitasha Jaura 1, Balraj Singh Sidhu 2, Neeraj Gill 3 1, 2, 3 Department Of Electronics and Communication Engineering, Giani Zail Singh Punjab

More information

Design Analysis of 1-bit Comparator using 45nm Technology

Design Analysis of 1-bit Comparator using 45nm Technology Design Analysis of 1-bit Comparator using 45nm Technology Pardeep Sharma 1, Rajesh Mehra 2 1,2 Department of Electronics and Communication Engineering, National Institute for Technical Teachers Training

More information

VLSI Implementation & Design of Complex Multiplier for T Using ASIC-VLSI

VLSI Implementation & Design of Complex Multiplier for T Using ASIC-VLSI International Journal of Electronics Engineering, 1(1), 2009, pp. 103-112 VLSI Implementation & Design of Complex Multiplier for T Using ASIC-VLSI Amrita Rai 1*, Manjeet Singh 1 & S. V. A. V. Prasad 2

More information

Low power 18T pass transistor logic ripple carry adder

Low power 18T pass transistor logic ripple carry adder LETTER IEICE Electronics Express, Vol.12, No.6, 1 12 Low power 18T pass transistor logic ripple carry adder Veeraiyah Thangasamy 1, Noor Ain Kamsani 1a), Mohd Nizar Hamidon 1, Shaiful Jahari Hashim 1,

More information

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE

3. COMPARING STRUCTURE OF SINGLE GATE AND DOUBLE GATE MOSFET WITH DESIGN AND CURVE P a g e 80 Available online at http://arjournal.org APPLIED RESEARCH JOURNAL RESEARCH ARTICLE ISSN: 2423-4796 Applied Research Journal Vol. 3, Issue, 2, pp.80-86, February, 2017 COMPARATIVE STUDY ON SINGLE

More information

Designing Of A New Low Voltage CMOS Schmitt Trigger Circuit And Its Applications on Reduce Power Dissipation

Designing Of A New Low Voltage CMOS Schmitt Trigger Circuit And Its Applications on Reduce Power Dissipation IJISET - International Journal of Innovative Science, Engineering & Technology, Vol. Issue 1, December 015. www.ijiset.com ISSN 348 7968 Designing Of A New Low Voltage CMOS Schmitt Trigger Circuit And

More information

Performance Evaluation of Adders using LP-HS Logic in CMOS Technologies

Performance Evaluation of Adders using LP-HS Logic in CMOS Technologies Performance Evaluation of Adders using LP-HS Logic in CMOS Technologies Linet K 1, Umarani P 1, T.Ravi 1 1 Scholar, Department of ECE, Sathyabama university E-mail- linetk2910@gmail.com ABSTRACT - This

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Lab 3: Circuit Simulation with PSPICE

Lab 3: Circuit Simulation with PSPICE Page 1 of 11 Laboratory Goals Introduce text-based PSPICE as a design tool Create transistor circuits using PSPICE Simulate output response for the designed circuits Introduce the Curve Tracer functionality.

More information

FTL Based Carry Look ahead Adder Design Using Floating Gates

FTL Based Carry Look ahead Adder Design Using Floating Gates 0 International onference on ircuits, System and Simulation IPSIT vol.7 (0) (0) IASIT Press, Singapore FTL Based arry Look ahead Adder Design Using Floating Gates P.H.S.T.Murthy, K.haitanya, Malleswara

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK DESIGN OF LOW POWER MULTIPLIERS USING APPROXIMATE ADDER MR. PAWAN SONWANE 1, DR.

More information

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER Mr. M. Prakash Mr. S. Karthick Ms. C Suba PG Scholar, Department of ECE, BannariAmman Institute of Technology, Sathyamangalam, T.N, India 1, 3 Assistant

More information

EE140: Lab 5, Project Week 2

EE140: Lab 5, Project Week 2 Introduction EE140: Lab 5, Project Week 2 VGA Op-amp Group Presentations: 4/13 and 4/14 in Lab Slide Submission: 4/15/17 (9 am) For this lab, you will be developing the background and circuits that you

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

Lab 4: Supply Independent Current Source Design

Lab 4: Supply Independent Current Source Design Lab 4: Supply Independent Current Source Design Curtis Mayberry EE435 In this lab a current mirror is designed that is robust against variations in the supply voltage. The current mirror is required to

More information

Introduction to VLSI design using Cadence Electronic Design Automation Tools

Introduction to VLSI design using Cadence Electronic Design Automation Tools Bangladesh University of Engineering & Technology Department of Electrical & Electronic Engineering Introduction to VLSI design using Cadence Electronic Design Automation Tools Laboratory Module 4: Layout

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

EE140: Lab 5, Project Week 2

EE140: Lab 5, Project Week 2 EE140: Lab 5, Project Week 2 VGA Op-amp Introduction For this lab, you will be developing the background and circuits that you will need to get your final project to work. You should do this with your

More information

Elad Alon HW #1: Circuit Simulation EECS 141 Due Thursday, Aug. 30th, 5pm, box in 240 Cory

Elad Alon HW #1: Circuit Simulation EECS 141 Due Thursday, Aug. 30th, 5pm, box in 240 Cory UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Last modified on August 20, 2012 by Elad Alon Elad Alon HW #1: Circuit Simulation EECS 141 Due

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

the cascading of two stages in CMOS domino logic[7,8]. The operating period of a cell when its input clock and output are low is called the precharge

the cascading of two stages in CMOS domino logic[7,8]. The operating period of a cell when its input clock and output are low is called the precharge 1.5v,.18u Area Efficient 32 Bit Adder using 4T XOR and Modified Manchester Carry Chain Ajith Ravindran FACTS ELCi Electronics and Communication Engineering Saintgits College of Engineering, Kottayam Kerala,

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science MCHUETT INTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer cience 6.374: nalysis and Design of Digital Integrated Circuits Problem et # 4 s Fall 2003 Issued: 10/31/03 Problem 1: MTCMO

More information

ELEC451 Integrated Circuit Engineering Fall 2009 Solution to CAD Assignment 2 Inverter Voltage Transfer Characteristic (VTC)

ELEC451 Integrated Circuit Engineering Fall 2009 Solution to CAD Assignment 2 Inverter Voltage Transfer Characteristic (VTC) ELEC451 Integrated Circuit Engineering Fall 2009 Solution to CAD Assignment 2 Inverter Voltage Transfer Characteristic (VTC) The plot below shows how the inverter's threshold voltage changes with the relative

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

Introduction to Pspice

Introduction to Pspice 1. Objectives Introduction to Pspice The learning objectives for this laboratory are to give the students a brief introduction to using Pspice as a tool to analyze circuits and also to demonstrate the

More information

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IMPROVEMENT IN NOISE AND DELAY IN DOMINO CMOS LOGIC CIRCUIT Ankit Kumar*, Dr. A.K. Gautam * Student, M.Tech. (ECE), S.D. College

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

NOVEL 11-T FULL ADDER IN 65NM CMOS TECHNOLOGY

NOVEL 11-T FULL ADDER IN 65NM CMOS TECHNOLOGY NOVEL 11-T FULL ADDER IN 65NM CMOS TECHNOLOGY C. M. R. Prabhu, Tan Wee Xin Wilson and Thangavel Bhuvaneswari Faculty of Engineering and Technology Multimedia University Melaka, Malaysia E-Mail: c.m.prabu@mmu.edu.my

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

2-Bit Magnitude Comparator Design Using Different Logic Styles

2-Bit Magnitude Comparator Design Using Different Logic Styles International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 2 Issue 1 ǁ January. 2013 ǁ PP.13-24 2-Bit Magnitude Comparator Design Using Different Logic

More information

A Novel Hybrid Full Adder using 13 Transistors

A Novel Hybrid Full Adder using 13 Transistors A Novel Hybrid Full Adder using 13 Transistors Lee Shing Jie and Siti Hawa binti Ruslan Department of Electrical and Electronic Engineering, Faculty of Electric & Electronic Engineering Universiti Tun

More information

University of Michigan EECS 311: Electronic Circuits Fall 2008 LAB 4 SINGLE STAGE AMPLIFIER

University of Michigan EECS 311: Electronic Circuits Fall 2008 LAB 4 SINGLE STAGE AMPLIFIER University of Michigan EECS 311: Electronic Circuits Fall 2008 LAB 4 SINGLE STAGE AMPLIFIER Issued 10/27/2008 Report due in Lecture 11/10/2008 Introduction In this lab you will characterize a 2N3904 NPN

More information

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design International Conference on Multidisciplinary Research & Practice P a g e 625 Comparison of High Speed & Low Power Techniques & in Full Adder Design Shikha Sharma 1, ECE, Geetanjali Institute of Technical

More information

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic Harris Introduction to CMOS VLSI Design (E158) Lecture 5: Logic David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture 5 1

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

A New High Speed - Low Power 12 Transistor Full Adder Design with GDI Technique

A New High Speed - Low Power 12 Transistor Full Adder Design with GDI Technique International Journal of Scientific & Engineering Research Volume 3, Issue 7, July-2012 1 A New High Speed - Low Power 12 Transistor Full Design with GDI Technique Shahid Jaman, Nahian Chowdhury, Aasim

More information

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 Asst. Professsor, Anurag group of institutions 2,3,4 UG scholar,

More information

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE 1 S. DARWIN, 2 A. BENO, 3 L. VIJAYA LAKSHMI 1 & 2 Assistant Professor Electronics & Communication Engineering Department, Dr. Sivanthi

More information

NOVEL DESIGN OF 10T FULL ADDER WITH 180NM CMOS TECHNOLOGY

NOVEL DESIGN OF 10T FULL ADDER WITH 180NM CMOS TECHNOLOGY International Journal of Electronics Engineering Research. ISSN 0975-6450 Volume 9, Number 9 (2017) pp. 1407-1414 Research India Publications http://www.ripublication.com NOVEL DESIGN OF 10T FULL ADDER

More information

Tutorial #2: Simulating Transformers in Multisim. In this tutorial, we will discuss how to simulate two common types of transformers in Multisim.

Tutorial #2: Simulating Transformers in Multisim. In this tutorial, we will discuss how to simulate two common types of transformers in Multisim. SCHOOL OF ENGINEERING AND APPLIED SCIENCE DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING ECE 2115: ENGINEERING ELECTRONICS LABORATORY Tutorial #2: Simulating Transformers in Multisim INTRODUCTION In

More information

ECEN3250 Lab 9 CMOS Logic Inverter

ECEN3250 Lab 9 CMOS Logic Inverter Lab 9 CMOS Logic Inverter ECE Department University of Colorado, Boulder 1 Prelab Read Section 4.10 (4th edition Section 5.8), and the Lab procedure Do and turn in Exercise 4.41 (page 342) Do PSpice (.dc)

More information

Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique

Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique Designing and Simulation of Full Adder Cell using Self Reverse Biasing Technique Chandni jain 1, Shipra mishra 2 1 M.tech. Embedded system & VLSI Design NITM,Gwalior M.P. India 474001 2 Asst Prof. EC Dept.,

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com ISSN (ONLINE): 2395-695X POWER DELAY PRODUCT AND AREA REDUCTION OF

More information

Design of 2-bit Full Adder Circuit using Double Gate MOSFET

Design of 2-bit Full Adder Circuit using Double Gate MOSFET Design of 2-bit Full Adder Circuit using Double Gate S.Anitha 1, A.Logeaswari 2, G.Esakkirani 2, A.Mahalakshmi 2. Assistant Professor, Department of ECE, Renganayagi Varatharaj College of Engineering,

More information

ETIN25 Analogue IC Design. Laboratory Manual Lab 2

ETIN25 Analogue IC Design. Laboratory Manual Lab 2 Department of Electrical and Information Technology LTH ETIN25 Analogue IC Design Laboratory Manual Lab 2 Jonas Lindstrand Martin Liliebladh Markus Törmänen September 2011 Laboratory 2: Design and Simulation

More information

DESIGN OF RAIL-TO-RAIL OPERATIONAL AMPLIFIER USING XFAB 0.35µM PROCESS

DESIGN OF RAIL-TO-RAIL OPERATIONAL AMPLIFIER USING XFAB 0.35µM PROCESS DESIGN OF RAIL-TO-RAIL OPERATIONAL AMPLIFIER USING XFAB 0.35µM PROCESS A DISSERTATION SUBMITTED TO THE FACULTY OF UNIVERSITY OF MINNESOTA BY NAMRATA ANAND DATE IN PARTIAL FULFILLMENT OF THE REQUIREMENTS

More information

Introduction to Full-Custom Circuit Design with HSPICE and Laker

Introduction to Full-Custom Circuit Design with HSPICE and Laker Introduction to VLSI and SOC Design Introduction to Full-Custom Circuit Design with HSPICE and Laker Course Instructor: Prof. Lan-Da Van T.A.: Tsung-Che Lu Department of Computer Science National Chiao

More information

Minimization of Area and Power in Digital System Design for Digital Combinational Circuits

Minimization of Area and Power in Digital System Design for Digital Combinational Circuits Indian Journal of Science and Technology, Vol 9(29), DOI: 10.17485/ijst/2016/v9i29/93237, August 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Minimization of Area and Power in Digital System

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

Technology, Jabalpur, India 1 2

Technology, Jabalpur, India 1 2 1181 LAYOUT DESIGNING AND OPTIMIZATION TECHNIQUES USED FOR DIFFERENT FULL ADDER TOPOLOGIES ARPAN SINGH RAJPUT 1, RAJESH PARASHAR 2 1 M.Tech. Scholar, 2 Assistant professor, Department of Electronics and

More information

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Shao-Hui Shieh and Ming-En Lee Department of Electronic Engineering, National Chin-Yi University of Technology, ssh@ncut.edu.tw, s497332@student.ncut.edu.tw

More information

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 77-81 International Research Publication House http://www.irphouse.com Noise Tolerance Dynamic CMOS Logic

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Engineering 3821 Fall Pspice TUTORIAL 1. Prepared by: J. Tobin (Class of 2005) B. Jeyasurya E. Gill

Engineering 3821 Fall Pspice TUTORIAL 1. Prepared by: J. Tobin (Class of 2005) B. Jeyasurya E. Gill Engineering 3821 Fall 2003 Pspice TUTORIAL 1 Prepared by: J. Tobin (Class of 2005) B. Jeyasurya E. Gill 2 INTRODUCTION The PSpice program is a member of the SPICE (Simulation Program with Integrated Circuit

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

of Tech in & Embe by

of Tech in & Embe by Analysis and Application of Improved Feedthrough Logic A Thesis Submitted in Partiall Fulfillment of the RequiremeR ents for the Awardd of the Degree of Master VLSI Design & of Tech in & Embe by hnologyy

More information

Timing and Power Optimization Using Mixed- Dynamic-Static CMOS

Timing and Power Optimization Using Mixed- Dynamic-Static CMOS Wright State University CORE Scholar Browse all Theses and Dissertations Theses and Dissertations 2013 Timing and Power Optimization Using Mixed- Dynamic-Static CMOS Hao Xue Wright State University Follow

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Step Response of RC Circuits

Step Response of RC Circuits EE 233 Laboratory-1 Step Response of RC Circuits 1 Objectives Measure the internal resistance of a signal source (eg an arbitrary waveform generator) Measure the output waveform of simple RC circuits excited

More information

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION Mr. Snehal Kumbhalkar 1, Mr. Sanjay Tembhurne 2 Department of Electronics and Communication Engineering GHRAET, Nagpur, Maharashtra,

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit III Static Logic Gates Introduction A static logic gate is one that has a well defined output once the inputs are stabilized and the switching transients have decayed away.

More information

A REVIEW PAPER ON HIGH PERFORMANCE 1- BIT FULL ADDERS DESIGN AT 90NM TECHNOLOGY

A REVIEW PAPER ON HIGH PERFORMANCE 1- BIT FULL ADDERS DESIGN AT 90NM TECHNOLOGY I J C T A, 9(11) 2016, pp. 4947-4956 International Science Press A REVIEW PAPER ON HIGH PERFORMANCE 1- BIT FULL ADDERS DESIGN AT 90NM TECHNOLOGY N. Lokabharath Reddy *, Mohinder Bassi **2 and Shekhar Verma

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information