Low Power Low Noise CMOS Chopper Amplifier

Size: px
Start display at page:

Download "Low Power Low Noise CMOS Chopper Amplifier"

Transcription

1 International Journal of Electronics and Computer Science Engineering 734 Available Online at ISSN Low Power Low Noise CMOS Chopper Amplifier Parneet Kaur 1, Manjit Kaur 2, Gurmohan Singh 3 1 Student M.Tech VLSI Design, C-DAC Mohali 2 Engineer, C-DAC Mohali 3 Former Engineer, C-DAC Mohali 1 parneetsandhu@y7mail.com, 2 manjit_k4@yahoo.com, 3 gurmohan79@yahoo.co.in Abstract- Chopping is a proficient way to reduce the low frequency offset and 1/f noise in amplifiers. In this paper, a low power low noise CMOS chopper amplifier is presented. It is composed of a two stage amplifier. The first stage s high output impedance and the equivalent Miller capacitance of the second stage constitute together a low pass filter, which reduces the power consumption. The circuit of the presented amplifier is designed and simulated at 0.18µm CMOS Process and 1.8V supply. The simulation results show that the average power consumption is 44 µw. The chopper amplifier has a gain of 103.5dB and unity gain bandwidth of 100KHz. Keywords- Chopper amplifier; low pass filter; average power; 1. Introduction A low-noise and low power consumption amplifier has been a vital for detecting the small level signals. It is widely used in biomedical information systems. EEG (electroencephalogram) and ECG (electrocardiogram) have characteristics of low amplitude and low frequency [1, 2]. The bandwidth of the signal is from 0.3Hz to 100Hz and amplitude less than 100 µv and in case of EEG signals bandwidth is from 0.1Hz to 150 Hz and amplitude less than 5mV. These signals require precise designing of the acquisition and recording units for capturing and storing these signals. So the low noise, low power and the low offset amplifier are the key circuit for detecting the small signal levels in the biomedical information system. CMOS technology is used due to its low power consumption capability, dense integration and low cost. However, in CMOS technologies 1/f noise becomes a serious hindrance. It limits the minimum detectable signal at low frequency. So in order to design a high precision amplifier, chopping technique is used. It has low noise and low offset characteristics. It implements modulation technique which can be used to decrease Op-amp imperfections. 2. Principle of Chopper amplifier Op-amp imperfections such as noise input referred DC voltage can be reduced by chopping technique which makes use of Modulation. The principle of conventional chopper amplifier is illustrated in fig.1. With input, output and A is the gain of the linear memory less amplifier. The signal (t) and (t) are modulating and demodulating carriers with period T = 1/f where f chop is chopper frequency. Also V os and V n denote deterministic dc offset and noise. We can observe that the cut off frequency of the chopper amplifier should be higher than the chopping frequency so as no attenuation of the input signal occurs. This means large bandwidth and hence large power consumption. A post low pass filter is also needed to filter modulated noise, which consumes power too. Conventional chopper amplifier is very complex and consumes large power.

2 Low Power Low Noise CMOS Chopper Amplifier 735 Figure 1. Principle of chopper amplifier 3. Design of Chopper Amplifier It is clear; the conventional chopper amplifier needs a high cut off frequency than f chop and a post low pass filter. Figure 2: Chopper amplifier circuit This leads to large power consumption. The presented chopper amplifier circuit [3,4] is depicted in Fig.2. It compromises of a two stage amplifier, first stage is a folded cascode OTA amplifier, consists of a voltage cascaded current mirror to perform differential single ended conversion. The second stage is a common source amplifier with miller compensation which provides large output range. If we assume that the DC gain of the OTA is A, then the equivalent capacitance of (A+1) C c can be obtained at the output node of the operational amplifier, in accordance with the Miller theorem, where, C c is the Miller Capacitance. Also the cascade transistors of the first stage op-amp provides large output impedance which along with this Miller capacitance makes an low pass filter thereby eliminating the need of post low power filter. This helps to reduce the power dissipation.

3 3.1. Modulator Circuit design IJECSE,Volume1,Number 2 Parneet Kaur et al. The modulator [9] used in this circuit is composed of four switches, two NMOS and two PMOS. The input to the modulator is a sinusoidal wave (V signal ) which has maximum amplitude of 100µm. The switches are provided with square waves (Φ) of frequency double to that of input sinusoidal wave so that no signal aliasing occurs. Figure 3: Schematic of modulator circuit When Φ is high the NMOS switches are ON, PMOS are off so V out is V signal. When Φ is low PMOS switches are ON and NMOS switches are off, so V out = -V signal. Hence modulated waveform is obtained. The modulated output is shown in fig. 4. V signal suffers from clock feedthrough of modulator. This is very noisy in frequency domain,so dummy switches are used for compensation. Figure 4: Output waveform of the modulator circuit. 4. Simulation Results The Low Power Chopper Amplifier is designed in 180nm technology. The amplifier consumes maximum Power of 98.0 µw at 1.8V of supply. The DC open loop gain of the Chopper amplifier is db with phase margin of 58

4 Low Power Low Noise CMOS Chopper Amplifier 737 degree. Results are obtained without chopping also. Without chopping means when CLK1 is set to 1.8V and CLK2 is grounded. From the waveform in fig 7, we observe that the gain drops by few db s without Chopping. Figure 5: DC open loop gain curve for chopper amplifier.. Figure6: Phase margin of low power CMOS chopper amplifier is 58 degree. Figure 7: Reduced gain of the CMOS chopper aplifier with clock 2 grounded.

5 IJECSE,Volume1,Number 2 Parneet Kaur et al. The chopper amplifier consumes average power of 44 µw. The maximum and minimum power consumed by the chopper amplifier is 98µW and 15µW respectively. The fig. 8 shows power consumption waveform for the low power CMOS chopper amplifier. Figure 7: Power consumption results of Chopper amplifier. The input reffered power spectral Noise is nv, the input frequency is set to 5 KHz and the Chopping frequency is set to 10 KHz., we can see that the gain drops by few db s without Chopping and the noise of the amplifier also increases. The input reffered noise of the CMOS Chopper Amplifier with chopping is 32.47nV and without chopping is 1.06µV. From the waveforms in fig. 8 and fig. 9 we observe that the input referred power spectral density of the amplifier is smaller with chopping than without chopping. Figure 8: Input referred noise power spectral density with chopping.

6 Low Power Low Noise CMOS Chopper Amplifier 739 Figure 9: Input referred noise power spectral density without chopping. The table 1 below lists various specifications of the designed low power CMOS chopper amplifier. Table 1: Specifications of Low Power CMOS Chopper Amplifier Technology 180nm Gain (db) Phase (degree) 58 Unity Gain Bandwidth (KHz) 100 Average Power (µw) 44 Supply (V) 1.8V Input frequency (KHz) 5 Chopping frequency (KHz) Conclusion The chopper amplifier consists of two stage amplifier, the first stage comprises of cascaded current mirror in the OTA which leads to high impedence and the Miller capacitance of the second stage makes a low pass filter which elimates the need of an additional low pass filter in the circuit. The low pass filter formed by the OTA and the common source amplifier with Miller compensation eliminates the unwanted higher frequency components. CMOS Chopper amplifier is able to overcome dominant noise source of the differential input stage, for low frequency, ultra low amplitude signals.the whole amplifier consumes power of 98.4uW at a supply voltage of 1.8V, the equivalent input noise is 32.47nV. The chopper amplifier has a gain of 103.5dB and unity gain bandwidth of 100KHz. References [1] A. Bilotti and G. Monreal; Chopper-stabilized amplifiers with a track-and-hold signal demodulator, Vol. 46, No. 4, pp , April 1999 [2] J.F Witte,K.A.A Makinwa,and J.H.Huijsing, A CMOS Chopper Offset stabilized Opamp. IEEE Journal of Solid-state circuits,vol.42,no.11,pp ,2007. [3] Xiao Yang, Jing Yang, Li-fen Lin, Chao- dong Ling; Low -Power Low-noise CMOS Chopper Amplifier, in IEEE Coference,vol no.667,pp ,2011 [4] Xiao Yang, Yang Zhang, Wei- wei Huang, Chao- dong Ling ; Low- Power Chopper Amplifier without LPF, in IEEE Conference,vol no. 668,pp ,2011 [5] C.Menolfi and Q.Huang; A Fully integrated untrimmed CMOS instrumentation Amplifier with Sumicrovolt offset, IEEE J. Solid State Circuits,vol.34,no.3,pp ,March [6] M.S.J Steyart et.al. A Micropower Low-Noise Monolithic Instrumention Amplifier for Medical purposes, IEEE Solid State

7 IJECSE,Volume1,Number 2 Parneet Kaur et al. Circuits,vol.22,pp ,1987. [7] L. Toth and Y. Tsividis; Generalized chopper stabilization, vol-12, pp.i-540 to I-543, September [8] Christan C.ENZ, Gabor C. temes ; Circuit Techniques for Reducing the Effects of Op-Amp Imperfections: Autozeroing, CorrelatedDouble Sampling, and Chopper Stabilization, in IEEE proceedings,vol 186, pp , [9] C. Enz and G. C. Temes; Circuit techniques for reducing the effects of op-amp imperfections: autozeroing, correlated double sampling, and chopper stabilization, Proc. IEEE,Vol. 84, No. 11, pp , November 1996.

ANALYSIS AND DESIGN OF HIGH CMRR INSTRUMENTATION AMPLIFIER FOR ECG SIGNAL ACQUISITION SYSTEM USING 180nm CMOS TECHNOLOGY

ANALYSIS AND DESIGN OF HIGH CMRR INSTRUMENTATION AMPLIFIER FOR ECG SIGNAL ACQUISITION SYSTEM USING 180nm CMOS TECHNOLOGY International Journal of Electronics and Communication Engineering (IJECE) ISSN 2278-9901 Vol. 2, Issue 4, Sep 2013, 67-74 IASET ANALYSIS AND DESIGN OF HIGH CMRR INSTRUMENTATION AMPLIFIER FOR ECG SIGNAL

More information

Pankaj Naik Electronic and Instrumentation Deptt. SGSITS, Indore, India. Priyanka Sharma Electronic and. SGSITS, Indore, India

Pankaj Naik Electronic and Instrumentation Deptt. SGSITS, Indore, India. Priyanka Sharma Electronic and. SGSITS, Indore, India Designing Of Current Mode Instrumentation Amplifier For Bio-Signal Using 180nm CMOS Technology Sonu Mourya Electronic and Instrumentation Deptt. SGSITS, Indore, India Pankaj Naik Electronic and Instrumentation

More information

A Novel Low Noise High Gain CMOS Instrumentation Amplifier for Biomedical Applications

A Novel Low Noise High Gain CMOS Instrumentation Amplifier for Biomedical Applications International Journal of Electrical and Computer Engineering (IJECE) Vol. 3, No. 4, August 2013, pp. 516~523 ISSN: 2088-8708 516 A Novel Low Noise High Gain CMOS Instrumentation Amplifier for Biomedical

More information

DESIGNING OF CURRENT MODE INSTRUMENTATION AMPLIFIER FOR BIO-SIGNAL USING 180NM CMOS TECHNOLOGY

DESIGNING OF CURRENT MODE INSTRUMENTATION AMPLIFIER FOR BIO-SIGNAL USING 180NM CMOS TECHNOLOGY DESIGNING OF CURRENT MODE INSTRUMENTATION AMPLIFIER FOR BIO-SIGNAL USING 180NM CMOS TECHNOLOGY GAYTRI GUPTA AMITY University Email: Gaytri.er@gmail.com Abstract In this paper we have describes the design

More information

Analysis of Instrumentation Amplifier at 180nm technology

Analysis of Instrumentation Amplifier at 180nm technology International Journal of Technical Innovation in Modern Engineering & Science (IJTIMES) Impact Factor: 5.22 (SJIF-2017), e-issn: 2455-2585 Volume 4, Issue 7, July-2018 Analysis of Instrumentation Amplifier

More information

An 8-Channel General-Purpose Analog Front- End for Biopotential Signal Measurement

An 8-Channel General-Purpose Analog Front- End for Biopotential Signal Measurement An 8-Channel General-Purpose Analog Front- End for Biopotential Signal Measurement Group 4: Jinming Hu, Xue Yang, Zengweijie Chen, Hang Yang (auditing) 1. System Specifications & Structure 2. Chopper Low-Noise

More information

A 24 V Chopper Offset-Stabilized Operational Amplifier with Symmetrical RC Notch Filters having sub-10 µv offset and over-120db CMRR

A 24 V Chopper Offset-Stabilized Operational Amplifier with Symmetrical RC Notch Filters having sub-10 µv offset and over-120db CMRR ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 20, Number 4, 2017, 301 312 A 24 V Chopper Offset-Stabilized Operational Amplifier with Symmetrical RC Notch Filters having sub-10 µv offset

More information

Design of Rail-to-Rail Op-Amp in 90nm Technology

Design of Rail-to-Rail Op-Amp in 90nm Technology IJSTE - International Journal of Science Technology & Engineering Volume 1 Issue 2 August 2014 ISSN(online) : 2349-784X Design of Rail-to-Rail Op-Amp in 90nm Technology P R Pournima M.Tech Electronics

More information

A Novel Design of Low Voltage,Wilson Current Mirror based Wideband Operational Transconductance Amplifier

A Novel Design of Low Voltage,Wilson Current Mirror based Wideband Operational Transconductance Amplifier A Novel Design of Low Voltage,Wilson Current Mirror based Wideband Operational Transconductance Amplifier Kehul A. Shah 1, N.M.Devashrayee 2 1(Associative Prof., Department of Electronics and Communication,

More information

Advanced Analog Integrated Circuits. Precision Techniques

Advanced Analog Integrated Circuits. Precision Techniques Advanced Analog Integrated Circuits Precision Techniques Bernhard E. Boser University of California, Berkeley boser@eecs.berkeley.edu Copyright 2016 by Bernhard Boser 1 Topics Offset Drift 1/f Noise Mismatch

More information

Nizamuddin M., International Journal of Advance Research, Ideas and Innovations in Technology.

Nizamuddin M., International Journal of Advance Research, Ideas and Innovations in Technology. ISSN: 2454-132X Impact factor: 4.295 (Volume3, Issue1) Available online at: www.ijariit.com Design & Performance Analysis of Instrumentation Amplifier at Nanoscale Dr. M. Nizamuddin Assistant professor,

More information

A New Design Technique of CMOS Current Feed Back Operational Amplifier (CFOA)

A New Design Technique of CMOS Current Feed Back Operational Amplifier (CFOA) Circuits and Systems, 2013, 4, 11-15 http://dx.doi.org/10.4236/cs.2013.41003 Published Online January 2013 (http://www.scirp.org/journal/cs) A New Design Technique of CMOS Current Feed Back Operational

More information

A Complete Analog Front-End IC Design for ECG Signal Acquisition

A Complete Analog Front-End IC Design for ECG Signal Acquisition A Complete Analog Front-End IC Design for ECG Signal Acquisition Yang Xu, Yanling Wu, Xiaotong Jia School of Electrical and Computer Engineering Georgia Institute of Technology yxu327@gatech.edu, yanlingwu@gatech.edu,

More information

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem A report Submitted to Canopus Systems Inc. Zuhail Sainudeen and Navid Yazdi Arizona State University July 2001 1. Overview

More information

A Chopper Modulated Instrumentation Amplifier Using Spike Shaping and Delayed Modulation Techniques for MEMS Pressure Sensor

A Chopper Modulated Instrumentation Amplifier Using Spike Shaping and Delayed Modulation Techniques for MEMS Pressure Sensor N. P. Futane, C. Roychaudhuri and H. Saha Vol. 2, 155 A Chopper Modulated Instrumentation Amplifier Using Spike Shaping and Delayed Modulation Techniques for MEMS Pressure Sensor Abstract A low-noise chopper

More information

A new class AB folded-cascode operational amplifier

A new class AB folded-cascode operational amplifier A new class AB folded-cascode operational amplifier Mohammad Yavari a) Integrated Circuits Design Laboratory, Department of Electrical Engineering, Amirkabir University of Technology, Tehran, Iran a) myavari@aut.ac.ir

More information

A Low Power Low-Noise Low-Pass Filter for Portable ECG Detection System

A Low Power Low-Noise Low-Pass Filter for Portable ECG Detection System I J C T A, 9(41), 2016, pp. 95-103 International Science Press ISSN: 0974-5572 A Low Power Low-Noise Low-Pass Filter for Portable ECG Detection System Rajeev Kumar*, Sanjeev Sharma** and Rishab Goyal***

More information

Design and Implementation of High Gain, High Bandwidth CMOS Folded cascode Operational Transconductance Amplifier

Design and Implementation of High Gain, High Bandwidth CMOS Folded cascode Operational Transconductance Amplifier Design and Implementation of High Gain, High Bandwidth CMOS Folded cascode Operational Transconductance Amplifier Jalpa solanki, P.G Student, Electronics and communication, SPCE Visnagar, India jalpa5737@gmail.com

More information

Design and Analysis of Low Power Two Stage CMOS Op- Amp with 50nm Technology

Design and Analysis of Low Power Two Stage CMOS Op- Amp with 50nm Technology Design and Analysis of Low Power Two Stage CMOS Op- Amp with 50nm Technology Swetha Velicheti, Y. Sandhyarani, P.Praveen kumar, B.Umamaheshrao Assistant Professor, Dept. of ECE, SSCE, Srikakulam, A.P.,

More information

Comparative Analysis of Leakage Power Reduction in Low Power Bio Instrumentation Amplifier Using 130nm MOSFET

Comparative Analysis of Leakage Power Reduction in Low Power Bio Instrumentation Amplifier Using 130nm MOSFET I J C T A, 9(34) 2016, pp. 467-474 International Science Press Comparative Analysis of Leakage Power Reduction in Low Power Bio Instrumentation Amplifier Using 130nm MOSFET G. Sathiyabama 1 and S.Ranjith

More information

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Title An ultra low-power CMOS EMG amplifier with high efficiency in operation frequency per power Author(s

More information

Gábor C. Temes. School of Electrical Engineering and Computer Science Oregon State University. 1/25

Gábor C. Temes. School of Electrical Engineering and Computer Science Oregon State University. 1/25 Gábor C. Temes School of Electrical Engineering and Computer Science Oregon State University temes@ece.orst.edu 1/25 Noise Intrinsic (inherent) noise: generated by random physical effects in the devices.

More information

G m /I D based Three stage Operational Amplifier Design

G m /I D based Three stage Operational Amplifier Design G m /I D based Three stage Operational Amplifier Design Rishabh Shukla SVNIT, Surat shuklarishabh31081988@gmail.com Abstract A nested Gm-C compensated three stage Operational Amplifier is reviewed using

More information

CMOS Instrumentation Amplifier with Offset Cancellation Circuitry for Biomedical Application

CMOS Instrumentation Amplifier with Offset Cancellation Circuitry for Biomedical Application CMOS Instrumentation Amplifier with Offset Cancellation Circuitry for Biomedical Application Author Mohd-Yasin, Faisal, Yap, M., I Reaz, M. Published 2006 Conference Title 5th WSEAS Int. Conference on

More information

Performance Analysis of Low Power, High Gain Operational Amplifier Using CMOS VLSI Design

Performance Analysis of Low Power, High Gain Operational Amplifier Using CMOS VLSI Design RESEARCH ARTICLE OPEN ACCESS Performance Analysis of Low Power, High Gain Operational Amplifier Using CMOS VLSI Design Ankush S. Patharkar*, Dr. Shirish M. Deshmukh** *(Department of Electronics and Telecommunication,

More information

Design of Low Voltage Low Power CMOS OP-AMPS with Rail-to-Rail Input/Output Swing.

Design of Low Voltage Low Power CMOS OP-AMPS with Rail-to-Rail Input/Output Swing. Design of ow oltage ow Power CMOS OP-AMPS with Rail-to-Rail Input/Output Swing. Mr.S..Gopalaiah Bangalore-56. svg@ece.iisc.ernet.in Prof. A. P. Shivaprasad Bangalore-56. aps@ece.iisc.ernet.in Mr. Sukanta

More information

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE

CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE CHAPTER 3 DESIGN OF PIPELINED ADC USING SCS-CDS AND OP-AMP SHARING TECHNIQUE 3.1 INTRODUCTION An ADC is a device which converts a continuous quantity into discrete digital signal. Among its types, pipelined

More information

A Compact Folded-cascode Operational Amplifier with Class-AB Output Stage

A Compact Folded-cascode Operational Amplifier with Class-AB Output Stage A Compact Folded-cascode Operational Amplifier with Class-AB Output Stage EEE 523 Advanced Analog Integrated Circuits Project Report Fuding Ge You are an engineer who is assigned the project to design

More information

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2 ISSN 2277-2685 IJESR/October 2014/ Vol-4/Issue-10/682-687 Thota Keerthi et al./ International Journal of Engineering & Science Research DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN

More information

LOW POWER FOLDED CASCODE OTA

LOW POWER FOLDED CASCODE OTA LOW POWER FOLDED CASCODE OTA Swati Kundra 1, Priyanka Soni 2 and Anshul Kundra 3 1,2 FET, Mody Institute of Technology & Science, Lakshmangarh, Sikar-322331, INDIA swati.kundra87@gmail.com, priyankamec@gmail.com

More information

HIGH GAIN, HIGH BANDWIDTH AND LOW POWER FOLDED CASCODE OTA WITH SELF CASCODE AND DTMOS TECHNIQUE

HIGH GAIN, HIGH BANDWIDTH AND LOW POWER FOLDED CASCODE OTA WITH SELF CASCODE AND DTMOS TECHNIQUE HIGH GAIN, HIGH BANDWIDTH AND LOW POWER FOLDED CASCODE OTA WITH SELF CASCODE AND DTMOS TECHNIQUE * Kirti, ** Dr Jasdeep kaur Dhanoa, *** Dilpreet Badwal Indira Gandhi Delhi Technical University For Women,

More information

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier Chapter 5 Operational Amplifiers and Source Followers 5.1 Operational Amplifier In single ended operation the output is measured with respect to a fixed potential, usually ground, whereas in double-ended

More information

d. Can you find intrinsic gain more easily by examining the equation for current? Explain.

d. Can you find intrinsic gain more easily by examining the equation for current? Explain. EECS140 Final Spring 2017 Name SID 1. [8] In a vacuum tube, the plate (or anode) current is a function of the plate voltage (output) and the grid voltage (input). I P = k(v P + µv G ) 3/2 where µ is a

More information

ANALYSIS AND DESIGN OF CMOS SMART TEMPERATURE SENSOR (SMT)

ANALYSIS AND DESIGN OF CMOS SMART TEMPERATURE SENSOR (SMT) ANALYSIS AND DESIGN OF CMOS SMART TEMPERATURE SENSOR (SMT) WITH DUTY-CYCLE MODULATED OUTPUT Kataneh Kohbod, Gerard C.M. Meijer Electronic Instrumentation Laboratory, Delft University of Technology Mekelweg

More information

TWO AND ONE STAGES OTA

TWO AND ONE STAGES OTA TWO AND ONE STAGES OTA F. Maloberti Department of Electronics Integrated Microsystem Group University of Pavia, 7100 Pavia, Italy franco@ele.unipv.it tel. +39-38-50505; fax. +39-038-505677 474 EE Department

More information

Performance Evaluation of Different Types of CMOS Operational Transconductance Amplifier

Performance Evaluation of Different Types of CMOS Operational Transconductance Amplifier Performance Evaluation of Different Types of CMOS Operational Transconductance Amplifier Kalpesh B. Pandya 1, Kehul A. shah 2 1 Gujarat Technological University, Department of Electronics & Communication,

More information

CMOS Operational-Amplifier

CMOS Operational-Amplifier CMOS Operational-Amplifier 1 What will we learn in this course How to design a good OP Amp. Basic building blocks Biasing and Loading Swings and Bandwidth CH2(8) Operational Amplifier as A Black Box Copyright

More information

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 03, 2016 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 03, 2016 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 03, 2016 ISSN (online): 2321-0613 Design and Analysis of Wide Swing Folded-Cascode OTA using 180nm Technology Priyanka

More information

Radivoje Đurić, 2015, Analogna Integrisana Kola 1

Radivoje Đurić, 2015, Analogna Integrisana Kola 1 Low power OTA 1 Two-Stage, Miller Op Amp Operating in Weak Inversion Low frequency response: gm1 gm6 Av 0 g g g g A v 0 ds2 ds4 ds6 ds7 I D m, ds D nvt g g I n GB and SR: GB 1 1 n 1 2 4 6 6 7 g 2 2 m1

More information

CHAPTER 3. Instrumentation Amplifier (IA) Background. 3.1 Introduction. 3.2 Instrumentation Amplifier Architecture and Configurations

CHAPTER 3. Instrumentation Amplifier (IA) Background. 3.1 Introduction. 3.2 Instrumentation Amplifier Architecture and Configurations CHAPTER 3 Instrumentation Amplifier (IA) Background 3.1 Introduction The IAs are key circuits in many sensor readout systems where, there is a need to amplify small differential signals in the presence

More information

EEC 210 Fall 2008 Design Project. Rajeevan Amirtharajah Dept. of Electrical and Computer Engineering University of California, Davis

EEC 210 Fall 2008 Design Project. Rajeevan Amirtharajah Dept. of Electrical and Computer Engineering University of California, Davis EEC 210 Fall 2008 Design Project Rajeevan Amirtharajah Dept. of Electrical and Computer Engineering University of California, Davis Issued: November 18, 2008 Due: December 5, 2008, 5:00 PM in my office.

More information

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 06, 2015 ISSN (online): 2321-0613 A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati

More information

A 200nV/ Hz Noise PSD Signal-Conditioning Circuit with Sensor-Offset Cancellation

A 200nV/ Hz Noise PSD Signal-Conditioning Circuit with Sensor-Offset Cancellation 12th WSEAS International Conference on CICUITS, Heraklion, Greece, July 22-24, 2008 A 200nV/ Hz Noise PSD Signal-Conditioning Circuit with Sensor-Offset Cancellation HIOKAZU YOSHIZAWA 1, HIOYUKI SAITO

More information

An 8-Channel General-Purpose Analog Front-End for Biopotential Signal Measurement

An 8-Channel General-Purpose Analog Front-End for Biopotential Signal Measurement An 8-Channel General-Purpose Analog Front-End for Biopotential Signal Measurement Xue Yang, Jinming Hu, Zengweijie Chen, Hang Yang Abstract This paper presents system level specifications of an 8 channel

More information

Design of High Gain Low Voltage CMOS Comparator

Design of High Gain Low Voltage CMOS Comparator Design of High Gain Low Voltage CMOS Comparator Shahid Khan 1 1 Rustomjee Academy for Global Careers Abstract: Comparators used in most of the analog circuits like analog to digital converters, switching

More information

c 2013 MD. NAIMUL HASAN ALL RIGHTS RESERVED

c 2013 MD. NAIMUL HASAN ALL RIGHTS RESERVED c 2013 MD. NAIMUL HASAN ALL RIGHTS RESERVED A COMPACT LOW POWER BIO-SIGNAL AMPLIFIER WITH EXTENDED LINEAR OPERATION RANGE A Thesis Presented to The Graduate Faculty of The University of Akron In Partial

More information

Design of Miller Compensated Two-Stage Operational Amplifier for Data Converter Applications

Design of Miller Compensated Two-Stage Operational Amplifier for Data Converter Applications Design of Miller Compensated Two-Stage Operational Amplifier for Data Converter Applications Prema Kumar. G Shravan Kudikala Casest, School Of Physics Casest, School Of Physics University Of Hyderabad

More information

DESIGN AND SIMULATION OF CURRENT FEEDBACK OPERATIONAL AMPLIFIER IN 180nm AND 90nm CMOS PROCESSES

DESIGN AND SIMULATION OF CURRENT FEEDBACK OPERATIONAL AMPLIFIER IN 180nm AND 90nm CMOS PROCESSES ISSN: 95-1680 (ONINE) ICTACT JOURNA ON MICROEECTRONICS, JUY 017, VOUME: 0, ISSUE: 0 DOI: 10.1917/ijme.017.0069 DESIGN AND SIMUATION OF CURRENT FEEDBACK OPERATIONA AMPIFIER IN 180nm AND 90nm CMOS PROCESSES

More information

Overcoming Offset. Prof. Kofi Makinwa. Electronic Instrumentation Laboratory / DIMES Delft University of Technology Delft, The Netherlands

Overcoming Offset. Prof. Kofi Makinwa. Electronic Instrumentation Laboratory / DIMES Delft University of Technology Delft, The Netherlands Overcoming Offset Prof. Kofi Makinwa Electronic Instrumentation Laboratory / DIMES Delft University of Technology Delft, The Netherlands email: k.a.a.makinwa@tudelft.nl Motivation The offset of amplifiers

More information

Design of Low Voltage Low Power CMOS OP-AMP

Design of Low Voltage Low Power CMOS OP-AMP RESEARCH ARTICLE OPEN ACCESS Design of Low Voltage Low Power CMOS OP-AMP Shahid Khan, Prof. Sampath kumar V. Electronics & Communication department, JSSATE ABSTRACT Operational amplifiers are an integral

More information

What is the typical voltage gain of the basic two stage CMOS opamp we studied? (i) 20dB (ii) 40dB (iii) 80dB (iv) 100dB

What is the typical voltage gain of the basic two stage CMOS opamp we studied? (i) 20dB (ii) 40dB (iii) 80dB (iv) 100dB Department of Electronic ELEC 5808 (ELG 6388) Signal Processing Electronics Final Examination Dec 14th, 2010 5:30PM - 7:30PM R. Mason answer all questions one 8.5 x 11 crib sheets allowed 1. (5 points)

More information

LOW VOLTAGE / LOW POWER RAIL-TO-RAIL CMOS OPERATIONAL AMPLIFIER FOR PORTABLE ECG

LOW VOLTAGE / LOW POWER RAIL-TO-RAIL CMOS OPERATIONAL AMPLIFIER FOR PORTABLE ECG LOW VOLTAGE / LOW POWER RAIL-TO-RAIL CMOS OPERATIONAL AMPLIFIER FOR PORTABLE ECG A DISSERTATION SUBMITTED TO THE FACULTY OF THE GRADUATE SCHOOL OF THE UNIVERSITY OF MINNESOTA BY BORAM LEE IN PARTIAL FULFILLMENT

More information

Design and Analysis of Two-Stage Op-Amp in 0.25µm CMOS Technology

Design and Analysis of Two-Stage Op-Amp in 0.25µm CMOS Technology Design and Analysis of Two-Stage Op-Amp in 0.25µm CMOS Technology 1 SagarChetani 1, JagveerVerma 2 Department of Electronics and Tele-communication Engineering, Choukasey Engineering College, Bilaspur

More information

2.996/6.971 Biomedical Devices Design Laboratory Lecture 7: OpAmps

2.996/6.971 Biomedical Devices Design Laboratory Lecture 7: OpAmps 2.996/6.971 Biomedical Devices Design Laboratory Lecture 7: OpAmps Instructor: Dr. Hong Ma Oct. 3, 2007 Fundamental Circuit: Source and Load Sources Power supply Signal Generator Sensor Amplifier output

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

Ultra Low Power Multistandard G m -C Filter for Biomedical Applications

Ultra Low Power Multistandard G m -C Filter for Biomedical Applications Volume-7, Issue-5, September-October 2017 International Journal of Engineering and Management Research Page Number: 105-109 Ultra Low Power Multistandard G m -C Filter for Biomedical Applications Rangisetti

More information

IMPLEMENTATION OF A LOW-KICKBACK-NOISE LATCHED COMPARATOR FOR HIGH-SPEED ANALOG-TO-DIGITAL DESIGNS IN 0.18

IMPLEMENTATION OF A LOW-KICKBACK-NOISE LATCHED COMPARATOR FOR HIGH-SPEED ANALOG-TO-DIGITAL DESIGNS IN 0.18 International Journal of Electronics, Communication & Instrumentation Engineering Research and Development (IJECIERD) ISSN 2249-684X Vol. 2 Issue 4 Dec - 2012 43-56 TJPRC Pvt. Ltd., IMPLEMENTATION OF A

More information

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS Shruti Gatade 1, M. Nagabhushan 2, Manjunath.R 3 1,3 Student, Department of ECE, M S Ramaiah Institute of Technology, Bangalore (India) 2 Assistant

More information

A CMOS Low-Voltage, High-Gain Op-Amp

A CMOS Low-Voltage, High-Gain Op-Amp A CMOS Low-Voltage, High-Gain Op-Amp G N Lu and G Sou LEAM, Université Pierre et Marie Curie Case 203, 4 place Jussieu, 75252 Paris Cedex 05, France Telephone: (33 1) 44 27 75 11 Fax: (33 1) 44 27 48 37

More information

XR FSK Modem Filter FUNCTIONAL BLOCK DIAGRAM GENERAL DESCRIPTION FEATURES ORDERING INFORMATION APPLICATIONS SYSTEM DESCRIPTION

XR FSK Modem Filter FUNCTIONAL BLOCK DIAGRAM GENERAL DESCRIPTION FEATURES ORDERING INFORMATION APPLICATIONS SYSTEM DESCRIPTION FSK Modem Filter GENERAL DESCRIPTION FUNCTIONAL BLOCK DIAGRAM The XR-2103 is a Monolithic Switched-Capacitor Filter designed to perform the complete filtering function necessary for a Bell 103 Compatible

More information

IN the present era, CMOS image sensors are being extensively

IN the present era, CMOS image sensors are being extensively JOURNAL OF L A TEX CLASS FILES, VOL. 13, NO. 9, JANUARY 2016 1 1/f Noise Reduction using In-Pixel Chopping in CMOS Image Sensor Kapil Jainwal and Mukul Sarkar, Member IEEE arxiv:1807.11577v1 [physics.ins-det]

More information

Nonlinear Macromodeling of Amplifiers and Applications to Filter Design.

Nonlinear Macromodeling of Amplifiers and Applications to Filter Design. ECEN 622(ESS) Nonlinear Macromodeling of Amplifiers and Applications to Filter Design. By Edgar Sanchez-Sinencio Thanks to Heng Zhang for part of the material OP AMP MACROMODELS Systems containing a significant

More information

CMOS Operational-Amplifier

CMOS Operational-Amplifier CMOS Operational-Amplifier 1 What will we learn in this course How to design a good OP Amp. Basic building blocks Biasing and Loading Swings and Bandwidth CH2(8) Operational Amplifier as A Black Box Copyright

More information

Nonlinear Macromodeling of Amplifiers and Applications to Filter Design.

Nonlinear Macromodeling of Amplifiers and Applications to Filter Design. ECEN 622 Nonlinear Macromodeling of Amplifiers and Applications to Filter Design. By Edgar Sanchez-Sinencio Thanks to Heng Zhang for part of the material OP AMP MACROMODELS Systems containing a significant

More information

Design of an Amplifier for Sensor Interfaces

Design of an Amplifier for Sensor Interfaces Design of an Amplifier for Sensor Interfaces Anurag Mangla Electrical and Electronics Engineering anurag.mangla@epfl.ch Supervised by Dr. Marc Pastre Prof. Maher Kayal Outline Introduction Need for high

More information

Design and Analysis of Double Gate MOSFET Operational Amplifier in 45nm CMOS Technology

Design and Analysis of Double Gate MOSFET Operational Amplifier in 45nm CMOS Technology IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 12 June 2016 ISSN (online): 2349-784X Design and Analysis of Double Gate MOSFET Operational Amplifier in 45nm CMOS Technology

More information

C H A P T E R 02. Operational Amplifiers

C H A P T E R 02. Operational Amplifiers C H A P T E R 02 Operational Amplifiers The Op-amp Figure 2.1 Circuit symbol for the op amp. Figure 2.2 The op amp shown connected to dc power supplies. The Ideal Op-amp 1. Infinite input impedance 2.

More information

Cascode Bulk Driven Operational Amplifier with Improved Gain

Cascode Bulk Driven Operational Amplifier with Improved Gain Cascode Bulk Driven Operational Amplifier with Improved Gain A.V.D. Sai Priyanka 1, S. Subba Rao 2 P.G. Student, Department of Electronics and Communication Engineering, VR Siddhartha Engineering College,

More information

A Low-Noise AC coupled Instrumentation Amplifier for Recording Bio Signals

A Low-Noise AC coupled Instrumentation Amplifier for Recording Bio Signals Volume 114 No. 10 2017, 329-337 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu A Low-Noise AC coupled Instrumentation Amplifier for Recording Bio

More information

ISSN: X Impact factor: 4.295

ISSN: X Impact factor: 4.295 ISSN: 2454-132X Impact factor: 4.295 (Volume2, Issue6) Available online at: www.ijariit.com An Approach for Reduction in Power Consumption in Low Voltage Dropout Regulator Shivani.S. Tantarpale 1 Ms. Archana

More information

Performance Improvement of Delta Sigma Modulator for Wide-Band Continuous-Time Applications

Performance Improvement of Delta Sigma Modulator for Wide-Band Continuous-Time Applications International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Performance Improvement of Delta Sigma Modulator for Wide-Band Continuous-Time Applications Parvathy Unnikrishnan 1, Siva Kumari

More information

An Ultra Low-Voltage and Low-Power OTA Using Bulk-Input Technique and Its Application in Active-RC Filters

An Ultra Low-Voltage and Low-Power OTA Using Bulk-Input Technique and Its Application in Active-RC Filters Circuits and Systems, 2011, 2, 183-189 doi:10.4236/cs.2011.23026 Published Online July 2011 (http://www.scirp.org/journal/cs) An Ultra Low-Voltage and Low-Power OTA Using Bulk-Input Technique and Its Application

More information

Atypical op amp consists of a differential input stage,

Atypical op amp consists of a differential input stage, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 6, JUNE 1998 915 Low-Voltage Class Buffers with Quiescent Current Control Fan You, S. H. K. Embabi, and Edgar Sánchez-Sinencio Abstract This paper presents

More information

Lecture 2: Non-Ideal Amps and Op-Amps

Lecture 2: Non-Ideal Amps and Op-Amps Lecture 2: Non-Ideal Amps and Op-Amps Prof. Ali M. Niknejad Department of EECS University of California, Berkeley Practical Op-Amps Linear Imperfections: Finite open-loop gain (A 0 < ) Finite input resistance

More information

Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage

Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage Sadeque Reza Khan Department of Electronic and Communication Engineering, National

More information

LowPowerHighGainOpAmpusingSquareRootbasedCurrentGenerator

LowPowerHighGainOpAmpusingSquareRootbasedCurrentGenerator Global Journal of Computer Science and Technology: H Information & Technology Volume 16 Issue 2 Version 1.0 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals Inc.

More information

[Kumar, 2(9): September, 2013] ISSN: Impact Factor: 1.852

[Kumar, 2(9): September, 2013] ISSN: Impact Factor: 1.852 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Design and Performance analysis of Low power CMOS Op-Amp Anand Kumar Singh *1, Anuradha 2, Dr. Vijay Nath 3 *1,2 Department of

More information

Noise George Yuan Hong Kong University of Science and Technology Fall 2010

Noise George Yuan Hong Kong University of Science and Technology Fall 2010 Lecture 3 Noise George Yuan Hong Kong University of Science and Technology Fall 2010 1 Outline Introduction Device noise models Circuit noise analysis Other noise sources Power noise Substrate noise Noise

More information

Design of a Capacitor-less Low Dropout Voltage Regulator

Design of a Capacitor-less Low Dropout Voltage Regulator Design of a Capacitor-less Low Dropout Voltage Regulator Sheenam Ahmed 1, Isha Baokar 2, R Sakthivel 3 1 Student, M.Tech VLSI, School of Electronics Engineering, VIT University, Vellore, Tamil Nadu, India

More information

Ultra Low Power High Speed Comparator for Analog to Digital Converters

Ultra Low Power High Speed Comparator for Analog to Digital Converters Ultra Low Power High Speed Comparator for Analog to Digital Converters Suman Biswas Department Of Electronics Kiit University Bhubaneswar,Odisha Dr. J. K DAS Rajendra Prasad Abstract --Dynamic comparators

More information

Delta-Sigma Digital Current Sensor Based On GMR

Delta-Sigma Digital Current Sensor Based On GMR Journal of Physics: Conference Series Delta-Sigma Digital Current Sensor Based On GMR To cite this article: Zhili Wang et al 2011 J. Phys.: Conf. Ser. 263 012009 View the article online for updates and

More information

Gain Boosted Telescopic OTA with 110db Gain and 1.8GHz. UGF

Gain Boosted Telescopic OTA with 110db Gain and 1.8GHz. UGF International Journal of Electronic Engineering Research ISSN 0975-6450 Volume 2 Number 2 (2010) pp. 159 166 Research India Publications http://www.ripublication.com/ijeer.htm Gain Boosted Telescopic OTA

More information

Low-output-impedance BiCMOS voltage buffer

Low-output-impedance BiCMOS voltage buffer Low-output-impedance BiCMOS voltage buffer Johan Bauwelinck, a) Wei Chen, Dieter Verhulst, Yves Martens, Peter Ossieur, Xing-Zhi Qiu, and Jan Vandewege Ghent University, INTEC/IMEC, Gent, 9000, Belgium

More information

Second-Order Sigma-Delta Modulator in Standard CMOS Technology

Second-Order Sigma-Delta Modulator in Standard CMOS Technology SERBIAN JOURNAL OF ELECTRICAL ENGINEERING Vol. 1, No. 3, November 2004, 37-44 Second-Order Sigma-Delta Modulator in Standard CMOS Technology Dragiša Milovanović 1, Milan Savić 1, Miljan Nikolić 1 Abstract:

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP

A Novel Continuous-Time Common-Mode Feedback for Low-Voltage Switched-OPAMP 10.4 A Novel Continuous-Time Common-Mode Feedback for Low-oltage Switched-OPAMP M. Ali-Bakhshian Electrical Engineering Dept. Sharif University of Tech. Azadi Ave., Tehran, IRAN alibakhshian@ee.sharif.edu

More information

Voltage Feedback Op Amp (VF-OpAmp)

Voltage Feedback Op Amp (VF-OpAmp) Data Sheet Voltage Feedback Op Amp (VF-OpAmp) Features 55 db dc gain 30 ma current drive Less than 1 V head/floor room 300 V/µs slew rate Capacitive load stable 40 kω input impedance 300 MHz unity gain

More information

Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M.

Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M. Design and Implementation of less quiescent current, less dropout LDO Regulator in 90nm Technology Madhukumar A S #1, M.Nagabhushan #2 #1 M.Tech student, Dept. of ECE. M.S.R.I.T, Bangalore, INDIA #2 Asst.

More information

An accurate track-and-latch comparator

An accurate track-and-latch comparator An accurate track-and-latch comparator K. D. Sadeghipour a) University of Tabriz, Tabriz 51664, Iran a) dabbagh@tabrizu.ac.ir Abstract: In this paper, a new accurate track and latch comparator circuit

More information

DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY

DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY Silpa Kesav 1, K.S.Nayanathara 2 and B.K. Madhavi 3 1,2 (ECE, CVR College of Engineering, Hyderabad, India) 3 (ECE, Sridevi Women s Engineering

More information

Design of Low Voltage, Low Power Rail to Rail Operational Transconductance Amplifier with enhanced Gain and Gain Bandwidth Product

Design of Low Voltage, Low Power Rail to Rail Operational Transconductance Amplifier with enhanced Gain and Gain Bandwidth Product Design of Low Voltage, Low Power Rail to Rail Operational Transconductance Amplifier with enhanced Gain and Gain Bandwidth Product Sakshi Dhuware 1, Mohammed Arif 2 1 M-Tech.4 th sem., GGITS Jabalpur,

More information

Design of High-Speed Op-Amps for Signal Processing

Design of High-Speed Op-Amps for Signal Processing Design of High-Speed Op-Amps for Signal Processing R. Jacob (Jake) Baker, PhD, PE Professor and Chair Boise State University 1910 University Dr. Boise, ID 83725-2075 jbaker@ieee.org Abstract - As CMOS

More information

A 80Ms/sec 10bit PIPELINED ADC Using 1.5Bit Stages And Built-in Digital Error Correction Logic

A 80Ms/sec 10bit PIPELINED ADC Using 1.5Bit Stages And Built-in Digital Error Correction Logic A 80Ms/sec 10bit PIPELINED ADC Using 1.5Bit Stages And Built-in Digital Error Correction Logic Abstract P.Prasad Rao 1 and Prof.K.Lal Kishore 2, 1 Research Scholar, JNTU-Hyderabad prasadrao_hod@yahoo.co.in

More information

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs International Journal of Research in Engineering and Innovation Vol-1, Issue-6 (2017), 60-64 International Journal of Research in Engineering and Innovation (IJREI) journal home page: http://www.ijrei.com

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier Hugo Serra, Nuno Paulino, and João Goes Centre for Technologies and Systems (CTS) UNINOVA Dept. of Electrical Engineering

More information

Low Power and Fast Transient High Swing CMOS Telescopic Operational Amplifier

Low Power and Fast Transient High Swing CMOS Telescopic Operational Amplifier RESEARCH ARTICLE OPEN ACCESS Low Power and Fast Transient High Swing CMOS Telescopic Operational Amplifier Akshay Kumar Kansal 1, Asst Prof. Gayatri Sakya 2 Electronics and Communication Department, 1,2

More information

DESIGN HIGH SPEED, LOW NOISE, LOW POWER TWO STAGE CMOS OPERATIONAL AMPLIFIER. Himanshu Shekhar* 1, Amit Rajput 1

DESIGN HIGH SPEED, LOW NOISE, LOW POWER TWO STAGE CMOS OPERATIONAL AMPLIFIER. Himanshu Shekhar* 1, Amit Rajput 1 ISSN 2277-2685 IJESR/June 2014/ Vol-4/Issue-6/319-323 Himanshu Shekhar et al./ International Journal of Engineering & Science Research DESIGN HIGH SPEED, LOW NOISE, LOW POWER TWO STAGE CMOS OPERATIONAL

More information

Applied Electronics II

Applied Electronics II Applied Electronics II Chapter 3: Operational Amplifier Part 1- Op Amp Basics School of Electrical and Computer Engineering Addis Ababa Institute of Technology Addis Ababa University Daniel D./Getachew

More information

Design of Operational Amplifier in 45nm Technology

Design of Operational Amplifier in 45nm Technology Design of Operational Amplifier in 45nm Technology Aman Kaushik ME Scholar Dept. of E&CE, NITTTR Chandigarh Abstract-This paper presents the designing and performance analysis of Operational Transconductance

More information