VLSI DESIGN (III Year II Semester-ECE)

Size: px
Start display at page:

Download "VLSI DESIGN (III Year II Semester-ECE)"

Transcription

1 VLSI DESIGN (III Year II Semester-ECE) COURSE OBJECTIVES AND OUTCOMES The objectives the course are to: Give exposure to different steps involved in the fabrication of ICs using MOS transistor, CMOS/BiCMOS transistors and passive components. Explain electrical properties of MOS and BiCMOS devices to analyze the behaviour of inverters designed with various loads. Give exposure to the design rules to be followed to draw the layout of any logic circuit. Provide concept to design different types of logic gates using CMOS inverter and analyze their transfer characteristics. Provide design concepts to design building blocks of data path of any system using gates. Understand basic programmable logic devices and testing of CMOS circuits. The outcomes of the course are to: Upon successfully completing the course, the student should be able to Acquire qualitative knowledge about the fabrication process of integrated circuit using MOS transistors. Choose an appropriate inverter depending on specifications required for a circuit. Draw the layout of any logic circuit which helps to understand and estimate parasitics of any logic circuit. Design different types of logic gates using CMOS inverter and analyze their transfer characteristics. Provide design concepts required to design building blocks of data path using gates. Design simple memories using MOS transistors and can understand design of large memories. Design simple logic circuit using PLA, PAL, FPGA and CPLD.

2 Understand different types of faults that can occur in a system and learn the concept of testing and adding extra hardware to implement testability of system. SYLLABUS UNIT I: INTRODUCTION & BASIC ELECTRICAL PROPERTIES.

3 Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. Basic Electrical Properties of MOS and BiCMOS Circuits: Ids-Vds relationships, MOS transistor threshold Voltage, gm, gds, figure of merit, Pass transistor, NMOS Inverter, Various pull ups, CMOS Inverter analysis and design, Bi-CMOS Inverters. UNIT II: VLSI CIRCUIT DESIGN PROCESSES. VLSI Design Flow, MOS Layers, Stick Diagrams, Design Rules and Layout, 2 µm CMOS Design rules for wires, Contacts and Transistors Layout Diagrams for NMOS and CMOS Inverters and Gates, Scaling of MOS circuits. UNIT III : GATE LEVEL DESIGN. Logic Gates and Other complex gates, Switch logic, Alternate gate circuits, Time Delays, Driving large Capacitive Loads, Wiring Capacitances, Fan-in and fan-out, Choice of layers. UNIT IV: DATA PATH SUBSYSTEMS & ARRAY SUBSYSTEMS Subsystem Design, Shifters, Adders, ALUs, Multipliers, Parity generators, Comparators, Zero/One Detectors, Counters, SRAM, DRAM, ROM, Serial access memories. UNIT V: PROGRAMMABLE LOGIC DEVICES AND CMOS TESTING. PLAs, FPGAs, CPLDs, Standard Cells, Programmable Array Logic, Design Approach, Parameter influencing low power design, CMOS Testing, Need for testing, Test Principles, Design Strategies for test, Chip-level Test Techniques. TEXTBOOKS : 1. Essentials of VLSI circuits and systems Kamran Eshraghian, Eshraghian Dougles and A. Pucknell, PHI, 2005 Edition. 2. CMOS VLSI Design- a Circuits and systems Perspective Neil Weste, David Harri, Ayan Banerjee, 3 rd Ed, Pearson, VLSI Design-M. Michael Vai, CRC Press. REFERENCES : 1. Introduction to VLSI Systems: A logic circuit and Systems Perspectives-Ming-BO Lin, CRC Press CMOS logic circuit Design- John P. Uyemura, Springer, Modern VLSI Design - Wayne Wolf, Pearson Education, 3rd Edition, VLSI Design K. Lal Kishore, V. S. V. Prabhakar, I. K. International, Introduction to VLSI Mead & Convey, BS Publications, 2010.

4 UNIT-I INTRODUCTION & BASIC ELECTRICAL PROPERTIES

5 OBJECTIVE TYPE QUESTIONS 1. Higher dose of boron implemented into base collector region. This causes contact resistance & extrinsic base region resistance [ ] a) Decreased b) Increased c) not effected d) Inversed 2. Chan stop regions implemented in silicon to increase [ ] a) Isolation b) Resistance c) Capacitance d) conduction 3. Starting material for bipolar IC Technology is a) <111> b) <101> c) <011> d) <110> [ ] 4. Starting material for CMOS Technology is a) <100> b) <101> c) <110> d) <111> [ ] 5. Decomposing of BJT in CMOS Technique results latch up a) Prevention b) Formation [ ] c) PNPN structure function b) Formation of BJT is used as gate material a) Polysilicon b) Si c) Cu d) Al [ ] 7. Trench isolation effectively bipolar transistors a) Decouples b) Couples c) Forms d) Lower resistance [ ] 8. Purpose of Pad oxide is a) Improve adhesiveness b) Decrease adhesiveness [ ] c) Coupling d) De-coupling 9. Self aligned structure results a) Decrease the overlap capacitance b) increase the overlap capacitance [ ] c) Increase Capacitance & Resistance d) Decrease Capacitance & Resistance 10. NMOS starting material a) <100> b) <111> c) <101> d) <110> [ ] 11. Hot electron effect cause a) Degradation of gate material b) Increase the life of gate [ ] c) Decrease Capacitance d) Decrease inductance 12. Boron used to adjust the a) Threshold voltage b) Current c) Power d) Inductance [ ] 13. Which MOS transistor passes strong logic 1 [ ] a) pmos b) nmos c) (a) & (b) d) None 14. Pinchoff occurs in region [ ] a) Non saturation b) Saturation c) cutoff d) linear 15. The drain current flow in ideally independent of drain source voltage when the channel is [ ] a) strongly depleted b) weakly depleted c) strongly inverted d) weakly inverted

6 process is used to transfer the layout pattern from masks to wafer. [ ] a) Diffusion b) Isolation c) photolithographic d) metallization 17. According to Moore s law, the number of transistors that could be manufactured on a chip [ ] a) linearly decreases b) grows exponentially c) grows linearly d) decreases exponentially 18. The transistor threshold voltage, V T is for P type transistor. a) positive b) negative c) zero d) Infinity [ ] 19. The present feature size of a transistor is [ ] a) 0.5 m b) 0.13 m c) 0.75 m d) 1 m 20. Pick out the advantage of IC [ ] a) Smaller physical size b) Low power consumption c) Reduced cost d) All 21. In the MOSFET, as width of channel increases Id [ ] a) Increases b) decreases c) Constant d) none 22. Latch up is caused by [ ] a) Parasitic R b) Parasitic BJT s c) (a) & (b) d) Parasitic C 23. Pick up latch-up resistant CMOS process [ ] a) n well b) p well c) silicon on Insulator d) all 24. Cascaded inverters are used to drive large loads [ ] a) Capacitive b) resistive c) inductive d) all 25. In which process (CMOS) pfets are embedded in n well [ ] a) p well b) n well c) SOI d) all 26. In the Pseudo-nMOS logic transistor is used as pull-up resistor [ ] a)pmos b) nmos c) Bipolar d) Unijunction 27. Latch structure is used in Logic [ ] a)pseudo-nmos b) DCVS c) Domino d) all 28. Routing channel is spacing between [ ] a)cell rows b) cells c) wires d) None 29. Feed throughs are used during [ ] a)placement b) Routing c) Floor planning d) Synthesis 30. For n-type transistor threshold voltage is [ ] a) Positive b) negative c) zero d) none 31. In the twin tub process wafer is used [ ] a) p-doped b) n-doped c) undoped d) none

7 Answers: B A D A D A B B C A A A B B A C B B B D B B A A B A B C C A 31 C

8 UNIT-II VLSI CIRCUIT DESIGN PROCESSES

9 OBJECTIVE TYPE QUESTIONS 1.The smallest feature size of a transistor is [ ] a) 4 x 4 b) 2 x 2 c) 8 x 8 d) 1 x 1 2.The on resistance of pulling transistor in an nmos inverter is [ ] a) 10K b) 50K c) 40K d) 20K 3.The inverter pair delay for inverter having 4:1 ratio is [ ] a) 4 b) 1 c) 2 d) 5 4.The rise time to fall time ratio is given by r a) b) n p c) d) none r f n p f r f 5.Metal to metal contact is called [ ] a) Buried contact b) Butting contact c) Via d) contact out 6.The capacitance that is caused by the edges of conductor is capacitance. 1 a) Fringing field capacitance b) Diffusion capacitance [ ] c) Gate to channel capacitance d) Area capacitance. 7.Measure of quality of logic circuit family is [ ] a) Speed power product b) Voltage c) Days d) Current 8.Elmore delay model E = a) tv Out ( t) dt b) V Out ( t) dt c) d) tv Out dt 0 0 [ ] model is used to compute delay [ ] a) RC Transmission line b) R model c) L model d) C model 10.The following is one of the method for CMOS Technology a) Twin tub b) Three tub c) Four tub d) Five tub [ ] 11.In Bi-polar Technology is used to get small diffusion coeffecient a) Antimony b) Phosphorous c) Gold d) Copper [ ] 12.Base Collector capacitance can be minimized is n epitaxial layer is a) Lightly doped b) Heavily doped c) Moderate d) no doping [ ] 13.Burried layer sheet resistance is a) 5 to 15 / Sq b) 1 to 3 / Sq c) 1 to 5/Sq d) none [ ] is used as Passivation layer [ ] a) Si 3N 4 b) Si c) Si N d) Si 2O In CMOS inverter to have equal raise & fall times, W p is approximately equal to a) (23) W n b) W n c) (34) W n d) W n/2 [ ] 16. Power Consumption of CMOS circuits depends on [ ] a) Switching frequency b) load capacitance c) Supply voltages d) all 17. Quality of logic circuit family is [ ] 0 tdt

10 a) Delay X power dissipation b) delay / power dissipation c) power dissipation / delay d) Delay + power dissipation. 18. Cascaded inverters are used to drive large loads [ ] a) Capacitive b) resistive c) inductive d) all 19. In which process (CMOS) pfets are embedded in n well [ ] a) p well b) n well c) SOI d) all 20. Latch up is caused by [ ] a) Parasitic R b) Parasitic BJT s c) (a) & (b) d) Parasitic C Answers: B B C B C C B C C A D C A A D A D B C B

11 UNIT-III GATE LEVEL DESIGN

12 OBJECTIVE TYPE QUESTIONS 1. Electromigration of metal leads to circuit. [ ] a) Open b) short c) Amplifier d) Rectifier 2. Design rules are geometrical constraints on [ ] a) stick diagram b) Layout c) circuit d) program 3. Circuit extractor extracts [ ] a) Component b) wire c) (a) & (b) d) Nothing 4. In which gates operation is independent of stored charge [ ] a) Dynamic b) static c) Complementary d) all 5. If pullup network consist of series connected nfets, the gate is [ ] a) NAND b) NOR c) NOT d) None 6. Dual of parallel connection is [ ] a) Parallel b) Series c) Series-parallel d) none 7. In CMOS inverter to have equal raise & fall times, W p is approximately equal to a) (23) W n b) W n c) (34) W n d) W n/2 [ ] 8. Power Consumption of CMOS circuits depends on [ ] a) Switching frequency b) load capacitance c) Supply voltages d) all 9. Quality of logic circuit family is [ ] a) Delay X power dissipation b) delay / power dissipation c) power dissipation / delay d) Delay + power dissipation. 10. The control inputs in BILBO testing the coresponding mode is [ ] a. linear shift mode b. signature analysis mode c. datalatch d. reset mode 11. In the BILBO arrangements, when C 0=0, C 1=1 then the corresponding mode is [ ] a. linear shift mode b. signature analysis mode c. data latch d. reset mode 12. The following the mode when C 0=1, and C 1=0 in the BILBO arrangement [ ] a. linear shift mode b. signature analysis mode c. data latch d. reset mode 13. On chip testing is obtained by using [ ] a. self - test circuitry b. adhoc testability

13 c. structured testability d. LSSD approach 14. Signature analysis techniques are [ ] a. on chip testing b. structured testing c. LSSD testing d. adhoc testability 15. The manufacturing cost is low by detecting the malfunctioning of chip at a level of[ ] a. wafer level b. packaged-chip c. system level d. field 16. The tests that are usually carried after chip is manufactured are called [ ] a. functionality test b. design verification c. manufacturing test d. technology test 17. Generally memories are tested by [ ] a. self-test b. full serial scan c. parallel scan d. LFSR method 18. In order to reconfogure flip - flops appropriately, it is necessary to be able to include a double throw switch in the [ ] a. simple scan path b. address path c. control singnal path d. data path 19. The test access port or TAP controller in a boundry - scan system level testing is a[ ] a state FSM b. 8 - state register c. 8 - state interface pins d state NAND gates 20. For a CMOS gate which is the best speed power product? a. 1.4pJ b. 1.6pJ c. 3.4pJ d. 4.4pJ ANSWERS: B B A D B D B C B A D B A A A C A D B

14 VLSI Design Objective Type questions UNIT-IV DATA PATH SUBSYSTEMS & ARRAY SUBSYSTEMS Vignan Institute of Technology & Science III B.Tech 2 nd Semester Page 14

15 VLSI Design Objective Type questions OBJECTIVE TYPE QUESTIONS 1. The carry chain in adder is consist with [ ] a. cross-bar swith b. transmission gate c. bus interconncection d. pass transistors 2. VLSI design of adder element basically requires [ ] a. EX-OR gate, Not and OR gates b. multiplexers, inverter circuit and communication paths c. multiplexers, EX-OR and NAND gates d. inverter circuits and communication paths 3. Carry line in adder must be buffered after or before each adder element because [ ] a. slow response of series pass transistors b. slow response of parallel line c. fast response of parallel pass transistors d. fast response of series line 4. The ALU logical functions can be obtained by a suitable switching of the [ ] a. carry line between adder elements b. sum line between adder elements c. carry line between shifter & buffer d. sum line between shifter & buffer 5. To fast an arithmetic operations, the multipliers and dividers is to use architecture of[ ] a. parallel b.serial c. pipelined d.switched 6. The number of bits increases in comparator then the [ ] a. height increases c. width reduces linearly b. width grows linearly d.height reduces 7. The standard cell for an n-bit parity generator is [ ] a. n-1 bit cell c. two bit cell b. one bit cell d.n+1 bit cell 8. The parity information is passed from one cell to the next and is modified or not by a cell depending on the state of the [ ] a. previous information c. input lines b. output line d.next information 9. The parity information (p i) passed from one cell to the next is modified when the input line (A i) is at the state of [ ] a. zero b.overline{a} i c.one d.independent of input line state 10. For the 4X4 bit barrel shifter, the regularity factor is given by [ ] a. 8 b.4 c.2 d The level of any particular design can be measured by [ ] a. SNR c.ratio of amplitudes b. regularity d.quality 12. In tackling the design of system the more significant property is [ ] Vignan Institute of Technology & Science III B.Tech 2 nd Semester Page 15

16 VLSI Design Objective Type questions a. logical operations b. topological properties b. test ability d.nature of architecture 13. Any bit shifted out at one end of data word will be shifted in at the other end of the word is called [ ] a. end-around b.end-off c.end-less d.end-on 14. In the VLSI design the data and control signals of a shift register flow in [ ] a. horizontally and vertically b. vertically and horizontally c. both horizontally d. both vertically 15. The subsystem design is classified as [ ] a. first level c. bottom level b. top level d.leaf-cell level 16. The larger system design must be partition into a sub systems design such that [ ] a. minimum interdependence and inter connection b. complexity of interconnection c. maximum interdependence d. arbitarily chosen 17. To simplify the subsystem design, we generally used the [ ] a. interdependence c. regular structures b. complex interconnections d.standard cells 18. System design is generally in the manner of [ ] a. down-top b.top-down c.bottom level only d.top level only 19. Structured design begins with the concept of [ ] a. hierarchy b. down-top design c. bottom level design d. complex function design 20. Any general purpose n-bit shifter should be able to shift incoming data by up to number of places are [ ] a. n b.2n c.n-1 d.2n For a four bit word, a one-bit shift right is equivalent to a [ ] a. two bit shift left c. one bit shift left b. three-bit shift left d.four-bit shift left 22. The type of switch used in shifters is [ ] a. line switch c. crossbar switch b. transistor type switch d.gate switch Answers: D B A A C B B C C D D C A A Vignan Institute of Technology & Science III B.Tech 2 nd Semester Page 16

17 VLSI Design Objective Type questions D A D B C B C 22 D Vignan Institute of Technology & Science III B.Tech 2 nd Semester Page 17

18 VLSI Design Objective Type questions UNIT-V PROGRAMMABLE LOGIC DEVICES & CMOS TESTING Vignan Institute of Technology & Science III B.Tech 2 nd Semester Page 18

19 VLSI Design Objective Type questions OBJECTIVE TYPE QUESTIONS 1. The PLA provides a systematic and regular way of implementing multiple output functions of n variables in [ ] a. POS form b.sop form c.complex form d.simple form 2. V(input variables) X P(product terms) PLA is to maintain generality within the constraints of its dimensions then for [ ] a. AND gate have n inputs and output OR gate must have P inputs b. AND gate have P inputs and output OR gate must have n inputs c. Both AND gate and OR gate have n inputs d. both AND and or gates have P inputs 3. A MOS PLA is realized by using the gate of [ ] a. AND b.or c.and-or d.nor 4. A CMOS PLA is realized by [ ] a. pseudo nmos NOR gate c.cmos NOR gate b. pseudo nmos NAND gate d.cmos NAND gate 5. The mapping of irregular combinational logic functions into regular structures is provided by the [ ] a. FPGA b.cpcd c.standard cells d.pla 6. The general arrangement of PLA is [ ] a. AND/OR structure b. OR/AND structure c. NAND/NOR structure d. EX-OR/OR structure 7. To realize any finite state machine requirements, the PLA along with [ ] a. NOR gate is used b. feed back links is used c. NAND gate is used d. NOT gate is used 8. To reduce the PLA dimensions, the simplification must be done on a [ ] a. individual output basis b. multi-output basis c. individual product term d. individual input basis 9. The regularity of the PLA sturcture shows that both the AND and OR planes are constructed from [ ] a. different standard cells b. standard cells are not used c. same standard cells d. feed back control links 10. The behavior AND/OR structure of a system may be capured in [ ] a. hardware description language b. software language c. tabulation method d. state design model Vignan Institute of Technology & Science III B.Tech 2 nd Semester Page 19

20 VLSI Design Objective Type questions 11. VHDL differs from other software languages by including [ ] a. behaviour of system b. compilers, debuggers and simulatois c. syntax d. machine understanding language 12. The advantage of fuse-based FPGAS compared to other FPGAs is [ ] a. allows large number of interconnections b. complex fabrication process c. larger in size d. modified without changing hardware 13. Where the design is of moderate complexity and time to silicon is of paramount importance then the probably suitable approach is [ ] a. FPGA b.pla c.standard cell d.pal 14. A single time programmable FPGA is the type of [ ] a. fuse-based FPGA b. SRAM-FPGA c. EPROM-FPGA d. Flash based FPGA 15. The SRAM-FPGA's consists of a large array of programmable logic cells known as[ ] a. Erasable programmable logic devices-epld b. configurable logic blocks-clb c. micro cells d. AND/OR array 16. The fabrication process of EPROM-FPGA is [ ] a. easy and high integration density b. easy and low integration density c. complex and high integration density d. complex and low integration density 17. The following is a chip whose final logic sturcture is directly configured by the end user [ ] a. gate array design b. field programmable logic c. standard cell design d. full custom design 18. FPGA can be programmed as per the [ ] a. positive logic b. negative logic c. users logic d. fixed logic 19. The logic cells in FPGA contains [ ] a. only combinational circuits b. only sequential circuits c. both combinational & sequential circuits d. only Flip-Flop circuits 20. The individual cells of FPGA are interconnected by [ ] a. AND gates and switches b. matrix of wires and programmable switches c. OR gates and non programmable switches d. AND & OR gates Vignan Institute of Technology & Science III B.Tech 2 nd Semester Page 20

21 VLSI Design Objective Type questions 21. Generally the system is partitioned for testing because [ ] a. reducing the chip area b. reducing the no. of pads c. reducing the number of test vectors d. reduce the required power 22. The two key concepts underlying all considerations for testabiloity are [ ] a. set and reset b. controllability and observability c. intial and final conditions d. pads and links 23. Controllability in testing means [ ] a. being able to set known internal states b. being able to generate all states c. being able to generate all combinations of circuit states d. read out the result of the state changes 24. Being able to generate all states to fully excise all combinations of circuit states is called [ ] a. controllability b. observability c. combinationatorial testbility d. reset facility 25. Being able to read out the result of the state changes as they occur is called [ ] a. controllability b. reset facility c. combinational testability d. observality 26. The facults occure due to thin-oxide shorts or metal-to metal shorts are called [ ] a. stuck at zero facults b. short-circuit faults c. open-circuit faults d. bridge faults 27. Radom logic is probably best tested via [ ] a. self testing b. full serial scan or parallel scan c. boundary scan d. LFSR method 28. Self-test circuitry approach is based on [ ] a. linear feed back shift registers only b. linear feed back shift registers, exclusive-or and clock system or gate c. clock system only d. enclusive OR gates only 29. The combination of LSSD scan path and linear feed back shift register is called [ ] a. self test circuitry b. signature analysis technique c. structured testbility d. built-in logic block observation 30. In the following which one is corrcet with respect to BILBO testing for control inputs C 0=1, C 1=1 [ ] a. linear shift mode b. signature analysis mode Vignan Institute of Technology & Science III B.Tech 2 nd Semester Page 21

22 VLSI Design Objective Type questions c. data latch d. reset mode Answers: B A D A D A B B C A B D A A B D B C C B C B A C D A B B D C Vignan Institute of Technology & Science III B.Tech 2 nd Semester Page 22

23 MODEL QUESTION PAPER ELECTRONICS AND COMMUNICATION ENGINEERING VLSI SYSTEM DESIGN PART-A 1. What are the different mos layers? [2M] 2. What are the two types of design rules? [2M] 3. Define rise time and fall time? [2M] 4. Draw the boundary scan input logic diagram? [2M] 5. Define threshold voltage? [2M] 6. Discuss the steps involved in IC fabrication process? [3M] 7. Draw the pseudo nmos inverter circuit diagram? [3M] 8. What are scan based test techniques? [3M] 9. What is channel length modulation and body effect? [3M] 10. Draw the cmos inverter circuit diagram? [3M] PART-B 11. a) Derive the relation between IDS & VDS of MOSFET. [16 M]. b) Draw the circuit for NMOS inverter and explain its operation. [16 M]. OR 12. a) Design a stick diagram for CMOS logic shown below. [16 M] i. Y = (A + B).C ii. Y = (A B)+C b) Implement the following logic functions using nmos logic. [16 M] i. Y = {AB + CD} ii. Y = {A.B.C + D} 1. a). Calculate the pair delay Vin to Vout in terms of τ for the given data. [16 M] Inverter -A OR Lpu= 16λ, WP.U = 2 λ, Lpd = 2 λ, Wpd = 2 λ

24 Inverter -B Lpu= 2λ, WP.U = 2 λ, Lpd = 2 λ, Wpd = 8 λ OR b). Calculate the gate capacitance value of 1.2μm Technology minimum sized transistor with gate to cannel capacitance value is pF/μm 2 [16 M]. 15. a). Explain the principle of a DRAM cell using neat diagram. [16 M]. OR b). Give the schematic of a DRAM and explain how READ and WRITE operations are carried out. [16 M]. 16. a). Explain about the principle and operation of FPGAs. What are its applications? [16 M]. OR b). Give the Architecture of a boundary scan test and explain the same. [16 M]. ****THE END***

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 0 ELECTRONICS AND COMMUNICATION ENGINEERING TUTORIAL QUESTION BANK Name : VLSI Design Code : A0 Regulation : R5 Structure :

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 Paper Setter Detail Name Designation Mobile No. E-mail ID Raina Modak Assistant Professor 6290025725 raina.modak@tib.edu.in

More information

2 MARK QUESTIONS & ANSWERS UNIT1-MOS TRANSISTOR PRINCIPLE

2 MARK QUESTIONS & ANSWERS UNIT1-MOS TRANSISTOR PRINCIPLE 2 MARK QUESTIONS & ANSWERS UNIT1-MOS TRANSISTOR PRINCIPLE 1.What are four generations of Integration Circuits? _ SSI (Small Scale Integration) _ MSI (Medium Scale Integration) _ LSI (Large Scale Integration)

More information

nmos, pmos - Enhancement and depletion MOSFET, threshold voltage, body effect

nmos, pmos - Enhancement and depletion MOSFET, threshold voltage, body effect COURSE DELIVERY PLAN - THEORY Page! 1 of! 7 Department of Electronics and Communication Engineering B.E/B.Tech/M.E/M.Tech : EC Regulation: 2016(Autonomous) PG Specialization : Not Applicable Sub. Code

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology

CMOS Digital Logic Design with Verilog. Chapter1 Digital IC Design &Technology CMOS Digital Logic Design with Verilog Chapter1 Digital IC Design &Technology Chapter Overview: In this chapter we study the concept of digital hardware design & technology. This chapter deals the standard

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

FPGA Based System Design

FPGA Based System Design FPGA Based System Design Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Why VLSI? Integration improves the design: higher speed; lower power; physically smaller. Integration reduces

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Academic Course Description

Academic Course Description BEC702 Digital CMOS VLSI Academic Course Description BHARATH UNIVERSITY Faculty of Engineering and Technology Department of Electronics and Communication Engineering BEC702 Digital CMOS VLSI Seventh Semester

More information

Academic Course Description

Academic Course Description BEC010- VLSI Design Academic Course Description BHARATH UNIVERSITY Faculty of Engineering and Technology Department of Electronics and Communication Engineering BEC010 VLSI Design Sixth Semester (Elective)

More information

MTLE-6120: Advanced Electronic Properties of Materials. Semiconductor transistors for logic and memory. Reading: Kasap

MTLE-6120: Advanced Electronic Properties of Materials. Semiconductor transistors for logic and memory. Reading: Kasap MTLE-6120: Advanced Electronic Properties of Materials 1 Semiconductor transistors for logic and memory Reading: Kasap 6.6-6.8 Vacuum tube diodes 2 Thermionic emission from cathode Electrons collected

More information

Academic Course Description

Academic Course Description BEC010- VLSI Design Academic Course Description BHARATH UNIVERSITY Faculty of Engineering and Technology Department of Electronics and Communication Engineering BEC010 VLSI Design Fifth Semester (Elective)

More information

Academic Course Description. BEC702 Digital CMOS VLSI

Academic Course Description. BEC702 Digital CMOS VLSI BEC702 Digital CMOS VLSI Academic Course Description Course (catalog) description BHARATH UNIVERSITY Faculty of Engineering and Technology Department of Electronics and Communication Engineering CMOS is

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM

Lecture 12 Memory Circuits. Memory Architecture: Decoders. Semiconductor Memory Classification. Array-Structured Memory Architecture RWM NVRWM ROM Semiconductor Memory Classification Lecture 12 Memory Circuits RWM NVRWM ROM Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Reading: Weste Ch 8.3.1-8.3.2, Rabaey

More information

Microelectronics, BSc course

Microelectronics, BSc course Microelectronics, BSc course MOS circuits: CMOS circuits, construction http://www.eet.bme.hu/~poppe/miel/en/14-cmos.pptx http://www.eet.bme.hu The abstraction level of our study: SYSTEM + MODULE GATE CIRCUIT

More information

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts.

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts. UNIT III VLSI CIRCUIT DESIGN PROCESSES In this chapter we will be studying how to get the schematic into stick diagrams or layouts. MOS circuits are formed on four basic layers: N-diffusion P-diffusion

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

CS302 - Digital Logic Design Glossary By

CS302 - Digital Logic Design Glossary By CS302 - Digital Logic Design Glossary By ABEL : Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder : A digital

More information

Basic Fabrication Steps

Basic Fabrication Steps Basic Fabrication Steps and Layout Somayyeh Koohi Department of Computer Engineering Adapted with modifications from lecture notes prepared by author Outline Fabrication steps Transistor structures Transistor

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows

BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows Unit 3 BASIC PHYSICAL DESIGN AN OVERVIEW The VLSI design flow for any IC design is as follows 1.Specification (problem definition) 2.Schematic(gate level design) (equivalence check) 3.Layout (equivalence

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 12, 2016 VLSI Design and Variation Penn ESE 570 Spring 2016 Khanna Lecture Outline! Design Methodologies " Hierarchy, Modularity,

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: Digital Logic Circuits Chapter 3: Implementation Technology Curtis Nelson Chapter 3 Overview In this chapter you will learn about: How transistors are used as switches; Integrated circuit technology;

More information

Lecture Perspectives. Administrivia

Lecture Perspectives. Administrivia Lecture 29-30 Perspectives Administrivia Final on Friday May 18 12:30-3:30 pm» Location: 251 Hearst Gym Topics all what was covered in class. Review Session Time and Location TBA Lab and hw scores to be

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Layers. Layers. Layers. Transistor Manufacturing COMP375 1

Layers. Layers. Layers. Transistor Manufacturing COMP375 1 VLSI COMP375 Computer Architecture Middleware other CS classes Machine Language Microcode Logic circuits Transistors Middleware Machine Language - earlier Microcode Logic circuits Transistors Middleware

More information

ECE/CoE 0132: FETs and Gates

ECE/CoE 0132: FETs and Gates ECE/CoE 0132: FETs and Gates Kartik Mohanram September 6, 2017 1 Physical properties of gates Over the next 2 lectures, we will discuss some of the physical characteristics of integrated circuits. We will

More information

Fundamentals of CMOS VLSI PART-A

Fundamentals of CMOS VLSI PART-A Fundamentals of CMOS VLSI Subject Code: Semester: V PART-A Unit 1: Basic MOS Technology Integrated circuits era, enhancement and depletion mode MOS transistors. nmos fabrication. CMOS fabrication, Thermal

More information

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies Mar 12, 2013 John Wawrzynek Spring 2013 EECS150 - Lec15-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies Feb 14, 2012 John Wawrzynek Spring 2012 EECS150 - Lec09-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives

Lecture 30. Perspectives. Digital Integrated Circuits Perspectives Lecture 30 Perspectives Administrivia Final on Friday December 15 8 am Location: 251 Hearst Gym Topics all what was covered in class. Precise reading information will be posted on the web-site Review Session

More information

ECE380 Digital Logic

ECE380 Digital Logic ECE380 Digital Logic Implementation Technology: Standard Chips and Programmable Logic Devices Dr. D. J. Jackson Lecture 10-1 Standard chips A number of chips, each with a few logic gates, are commonly

More information

EMT 251 Introduction to IC Design

EMT 251 Introduction to IC Design EMT 251 Introduction to IC Design (Pengantar Rekabentuk Litar Terkamir) Semester II 2011/2012 Introduction to IC design and Transistor Fundamental Some Keywords! Very-large-scale-integration (VLSI) is

More information

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline

EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies. Recap and Outline EECS150 - Digital Design Lecture 19 CMOS Implementation Technologies Oct. 31, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy

More information

Layers. Layers. Layers. Transistor Manufacturing COMP375 1

Layers. Layers. Layers. Transistor Manufacturing COMP375 1 Layers VLSI COMP370 Intro to Computer Architecture t Applications Middleware other CS classes High level languages Machine Language Microcode Logic circuits Gates Transistors Silicon structures Layers

More information

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic EE 330 Lecture 44 Digital Circuits Dynamic Logic Circuits Course Evaluation Reminder - All Electronic Digital Building Blocks Shift Registers Sequential Logic Shift Registers (stack) Array Logic Memory

More information

VALLIAMMAI ENGINEERING COLLEGE

VALLIAMMAI ENGINEERING COLLEGE VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur 603 203 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING QUESTION BANK VI SEMESTER EC6601 VLSI Design Regulation 2013 Academic Year 2017

More information

EECS150 - Digital Design Lecture 2 - CMOS

EECS150 - Digital Design Lecture 2 - CMOS EECS150 - Digital Design Lecture 2 - CMOS August 29, 2002 John Wawrzynek Fall 2002 EECS150 - Lec02-CMOS Page 1 Outline Overview of Physical Implementations CMOS devices Announcements/Break CMOS transistor

More information

Design cycle for MEMS

Design cycle for MEMS Design cycle for MEMS Design cycle for ICs IC Process Selection nmos CMOS BiCMOS ECL for logic for I/O and driver circuit for critical high speed parts of the system The Real Estate of a Wafer MOS Transistor

More information

Advanced Mathematics MEVD 101

Advanced Mathematics MEVD 101 Advanced Mathematics MEVD 101 Unit 1 : Partial Differential Equation Solution of Partial Differential Equation (PDE) by separation of variable method, Numerical solution of PDE (Laplace, Poisson s, Parabola)

More information

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic Harris Introduction to CMOS VLSI Design (E158) Lecture 5: Logic David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture 5 1

More information

VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur

VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur 603 203. DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING SUBJECT : EC6601 VLSI DESIGN QUESTION BANK SEM / YEAR: VI / IIIyear B.E. EC6601VLSI

More information

CMOS LOGIC CIRCUIT DESIGN

CMOS LOGIC CIRCUIT DESIGN CMOS LOGIC CIRCUIT DESIGN CMOS LOGIC CIRCUIT DESIGN John P. Uyemura Georgia Institute of Technology KLUWER ACADEMIC PUBLISHERS NEW YORK, BOSTON, DORDRECHT, LONDON, MOSCOW ebook ISBN: 0-306-47529-4 Print

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

VL0306-VLSI Devices & Design. L T P C EC0306 VLSI DEVICES AND DESIGN Prerequisite : EC0205 & EC0203 Course outcomes

VL0306-VLSI Devices & Design. L T P C EC0306 VLSI DEVICES AND DESIGN Prerequisite : EC0205 & EC0203 Course outcomes Page 1 VL0306-VLSI Devices & Design L T P C EC0306 VLSI DEVICES AND DESIGN 2 2 0 3 Prerequisite : EC0205 & EC0203 Course outcomes the ability to identify, formulate and solve engineering problems i) Graduate

More information

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor Conduction Characteristics of MOS Transistors (for fixed Vds)! Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris,

More information

Topic 2. Basic MOS theory & SPICE simulation

Topic 2. Basic MOS theory & SPICE simulation Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris, Ch 2 & 5.1-5.3 Rabaey, Ch 3) URL: www.ee.ic.ac.uk/pcheung/

More information

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor

Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2. Basic MOS theory & SPICE simulation. MOS Transistor Conduction Characteristics of MOS Transistors (for fixed Vds) Topic 2 Basic MOS theory & SPICE simulation Peter Cheung Department of Electrical & Electronic Engineering Imperial College London (Weste&Harris,

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Chapter 2 : Semiconductor Materials & Devices (II) Feb

Chapter 2 : Semiconductor Materials & Devices (II) Feb Chapter 2 : Semiconductor Materials & Devices (II) 1 Reference 1. SemiconductorManufacturing Technology: Michael Quirk and Julian Serda (2001) 3. Microelectronic Circuits (5/e): Sedra & Smith (2004) 4.

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2016 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2016 Khanna Adapted from GATech ESE3060 Slides Lecture

More information

Chapter 3 Digital Logic Structures

Chapter 3 Digital Logic Structures Chapter 3 Digital Logic Structures Transistor: Building Block of Computers Microprocessors contain millions of transistors Intel Pentium 4 (2): 48 million IBM PowerPC 75FX (22): 38 million IBM/Apple PowerPC

More information

Chapter 3. H/w s/w interface. hardware software Vijaykumar ECE495K Lecture Notes: Chapter 3 1

Chapter 3. H/w s/w interface. hardware software Vijaykumar ECE495K Lecture Notes: Chapter 3 1 Chapter 3 hardware software H/w s/w interface Problems Algorithms Prog. Lang & Interfaces Instruction Set Architecture Microarchitecture (Organization) Circuits Devices (Transistors) Bits 29 Vijaykumar

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

PAPER SOLUTION_DECEMBER_2014_VLSI_DESIGN_ETRX_SEM_VII Prepared by Girish Gidaye

PAPER SOLUTION_DECEMBER_2014_VLSI_DESIGN_ETRX_SEM_VII Prepared by Girish Gidaye Q1a) The MOS System under External Bias Depending on the polarity and the magnitude of V G, three different operating regions can be observed for the MOS system: 1) Accumulation 2) Depletion 3) Inversion

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques:

Reading. Lecture 17: MOS transistors digital. Context. Digital techniques: Reading Lecture 17: MOS transistors digital Today we are going to look at the analog characteristics of simple digital devices, 5. 5.4 And following the midterm, we will cover PN diodes again in forward

More information

VLSI Design Course File

VLSI Design Course File VLSI Design Course File Course file contents 1. Cover Page 2. Syllabus copy 3. Vision of the Department 4. Mission of the Department 5. PEOs and POs 6. Course objectives and outcomes 7. Brief Notes 8.

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Sticks Diagram & Layout. Part II

Sticks Diagram & Layout. Part II Sticks Diagram & Layout Part II Well and Substrate Taps Substrate must be tied to GND and n-well to V DD Metal to lightly-doped semiconductor forms poor connection called Shottky Diode Use heavily doped

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

Computer Architecture (TT 2012)

Computer Architecture (TT 2012) Computer Architecture (TT 212) Laws of Attraction aniel Kroening Oxford University, Computer Science epartment Version 1., 212 . Kroening: Computer Architecture (TT 212) 2 . Kroening: Computer Architecture

More information

VLSI Designed Low Power Based DPDT Switch

VLSI Designed Low Power Based DPDT Switch International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 8, Number 1 (2015), pp. 81-86 International Research Publication House http://www.irphouse.com VLSI Designed Low

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Solid State Devices- Part- II. Module- IV

Solid State Devices- Part- II. Module- IV Solid State Devices- Part- II Module- IV MOS Capacitor Two terminal MOS device MOS = Metal- Oxide- Semiconductor MOS capacitor - the heart of the MOSFET The MOS capacitor is used to induce charge at the

More information

MOSFETS: Gain & non-linearity

MOSFETS: Gain & non-linearity MOFET: ain & non-linearity source gate Polysilicon wire Heavily doped (n-type or p-type) diffusions W Inter-layer io 2 insulation Very thin (

More information

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design Harris Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 40 BICMOS technology So, today we are going to have the last class on this VLSI

More information

Unit level 4 Credit value 15. Introduction. Learning Outcomes

Unit level 4 Credit value 15. Introduction. Learning Outcomes Unit 20: Unit code Digital Principles T/615/1494 Unit level 4 Credit value 15 Introduction While the broad field of electronics covers many aspects, it is digital electronics which now has the greatest

More information

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. !

! Review: MOS IV Curves and Switch Model. ! MOS Device Layout. ! Inverter Layout. ! Gate Layout and Stick Diagrams. ! Design Rules. ! ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 21, 2017 MOS Fabrication pt. 2: Design Rules and Layout Lecture Outline! Review: MOS IV Curves and Switch Model! MOS Device Layout!

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

Lecture 0: Introduction

Lecture 0: Introduction Introduction to CMOS VLSI Design Lecture : Introduction David Harris Steven Levitan Harvey Mudd College University of Pittsburgh Spring 24 Fall 28 Administrivia Professor Steven Levitan TA: Bo Zhao Syllabus

More information

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30 EE 330 Lecture 44 igital Circuits Ring Oscillators Sequential Logic Array Logic Memory Arrays Final: Tuesday May 2 7:30-9:30 Review from Last Time ynamic Logic Basic ynamic Logic Gate V F A n PN Any of

More information

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS 87 CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS 6.1 INTRODUCTION In this approach, the four types of full adders conventional, 16T, 14T and 10T have been analyzed in terms of

More information

UNIT-III GATE LEVEL DESIGN

UNIT-III GATE LEVEL DESIGN UNIT-III GATE LEVEL DESIGN LOGIC GATES AND OTHER COMPLEX GATES: Invert(nmos, cmos, Bicmos) NAND Gate(nmos, cmos, Bicmos) NOR Gate(nmos, cmos, Bicmos) The module (integrated circuit) is implemented in terms

More information

電子電路. Memory and Advanced Digital Circuits

電子電路. Memory and Advanced Digital Circuits 電子電路 Memory and Advanced Digital Circuits Hsun-Hsiang Chen ( 陳勛祥 ) Department of Electronic Engineering National Changhua University of Education Email: chenhh@cc.ncue.edu.tw Spring 2010 2 Reference Microelectronic

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

Device Technologies. Yau - 1

Device Technologies. Yau - 1 Device Technologies Yau - 1 Objectives After studying the material in this chapter, you will be able to: 1. Identify differences between analog and digital devices and passive and active components. Explain

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information