Comparison of Adaptive Voltage/Frequency Scaling and Asynchronous Processor Architectures for Neural Spike Sorting

Size: px
Start display at page:

Download "Comparison of Adaptive Voltage/Frequency Scaling and Asynchronous Processor Architectures for Neural Spike Sorting"

Transcription

1 EECS 241 PROCEEDINGS, VOL. 14, NO. 2, MAY Comparison of Adaptive Voltage/Frequency Scaling and Asynchronous Processor Architectures for Neural Spike Sorting Jackie Leverett, Rachel Hochman, Amanda Pratt Berkeley Wireless Research Center, University of California, Berkeley, CA {jleverett, rhochman, apratt}@eecs.berkeley.edu Abstract This paper investigates the tradeoffs between adaptive and asynchronous timing methods for spike sorting in neural signal processing. Using an asynchronous timing scheme has been proven to reduce the power consumed by a neural processor [1], yet no relevant comparison has been made with an adaptive timing scheme. Our work provides an evaluation of the power consumption of two spike-sorting circuits that perform the same processing, but differ in timing structure, simulated in 32nm predictive technology. Starting with Verilog descriptions of each module, we produced SPICE netlists from complete layouts and compared the energy/performance of each by simulating the modules under a wide range of supply and frequency conditions. Our findings indicate that adaptive synchronous timing results in lower energy than asynchronous timing for data rates below 1.5MHz. Index Terms action potential sorting, asynchronous processing, adaptive voltage and frequency scaling I. INTRODUCTION Technological advancements in low power circuit design have paved the way for emerging wireless, battery-operated brain machine interfaces (BMIs). However, power limitations are extremely stringent in such devices because excess heat can damage sensitive brain tissue and battery life must be long to avoid complex replacement surgeries. These BMIs often will have on-chip circuitry that detects neural action potentials (spikes) and subsequently aligns and sorts the spikes according to shape. Because action potential events are sparse in the time domain, fully synchronous, single clock architectures consume more power than desired. Thus, several techniques have been implemented which leverage the sparsity of these events, including an asynchronous neural processor [1] and a gated synchronous processor that uses an analog detection algorithm to turn on or off the ADC and processing elements [2]. II. BACKGROUND Several approaches for reducing power in BMIs have been proposed. An asynchronous neural signal processor has been constructed that demonstrates robust behavior in the subthreshold region down to 0.25V and consumes only 460nW in 0.03mm2 [1]. The processor receives data from an ADC front-end running at 20kHz and then converts the data with a synchronous-to-asynchronous interface composed of differential pre-charged dynamic logic buffers. The data processing thereafter is driven only by local handshaking events, which eliminates timing uncertainties such as skew and jitter due to clock distribution. Each block achieves a high performance that adapts to the changing operating conditions. The metrics achieved represent a 4.4X reduction in power compared to previous state-of-the-art. Another power saving architecture was reported in [2]. In this approach, the ADC and processor are not activated until an analog FIFO determines that a spike is occurring as the input voltage passes a predetermined threshold. This does save power, but when the processor is turned on, it is operating at a fixed frequency, which may be inefficient if the spike does not need to be processed quickly. Both previous approaches process the spike data in a defined period of time, consuming a set amount of power during a single spike s processing. In reality, the time between action potentials can vary significantly and in some cases, can be quite large. When the delay between spikes is large, the spike sorting could be spread over a larger amount of time than when the interspike delay is small. An illustration of this may be seen in Figure II. To spread the processing time, the voltage can be lowered and subsequently frequency reduced, resulting in reduced power. The concept of voltage scaling has been well established in [3]. In this project, we investigate the performance of neural spike processing logic with adaptive voltage and frequency scaling to leverage the sparse nature of the action potential trains. While adaptive synchronous methods have been used in other aspects of neural processing, including adaptive thresholding for detection [4], thus far to our knowledge, adaptive voltage and frequency scaling has not been utilized in spike sorting algorithms. Our analysis endeavors to determine the conditions under which asynchronous spike sorting sequential logic is most beneficial and those under which adaptive voltage and frequency scaling of the spike sorting sequential logic more benefitial. III. ARCHITECTURE A basic spike-sorting algorithm first finds the value and location of the maximum data point within each spike and then superimposes the spikes with their maxima aligned. For the scope of this project, we chose to implement just the maxfinding function. However, in order to design the max-finding function and makig a fair comparison between methodologies, one must have an understanding of the supporting architecture.

2 EECS 241 PROCEEDINGS, VOL. 14, NO. 2, MAY Fig. 1. A conceptual power and timing comparison between our adaptive voltage/frequency scaling methodology and an existing asynchronous approach. In this research, a neural processor architecture similar to that in [5] is assumed. A diagram of the architecture may be seen in Figure III. A spike detector is used to determine the presence of an incident spike and start sending the ADC data for that spike to the FIFO. the clock and data in order to ensure that the chip runs under all operating conditions and in all process corners (a factor in the lack of frequency scaling in recent years). This is especially true of designs operating in the subthreshold region. To minimize this guardband and to ensure the adaptive synchronous architecture is competitive with an asynchronous architecture, logic detecting the actual frequency of operation of a chip would be necessary to minimize clocking errors. Critical Path Monitoring (CPM), as implemented in [6], can determine the requisite clock frequency with a lower overhead and implementation effort than other techniques [6]. Fig. 2. Assumed processor architecture. Whereas the asynchronous circuitry will simply process the data at its intrinsic operating speed for a given supply voltage, the adaptive synchronous version requires two kinds of control logic to choose the right voltage/frequency pairs. A feed forward adaptive path tracks the input rate of the FIFO, and a critical path monitor tracks variations in process, voltage, and temperature (PVT). If the FIFO has a low input frequency, then the supply voltage is significantly lowered, and the clock frequency is reduced to account for subthreshold delays. However, if one or more additional spikes enter the FIFO in close succession, the voltage and frequency are scaled up and adapted every cycle, as seen in Figure II. In this way, we lower power when spikes are sparse and increase power to avoid buffer overflows when necessary. Additional power supply voltages will need to be added in order to support the adaptive voltage and frequency scaling at different incident spike frequencies. In a purely synchronous design, to compensate for the PVT dependence, a large guardband must be used between A. Clocked Spike Processing Logic A clocked version of this logic is shown in Figure 3. Eight bit data are input, along with their FIFO positions (6 bits) and the current spike count (2 bits). The outputs of this logic block are the spike count, a data ready flag, and the maximum data value along with its fifo position. The key to the spike function logic is the comparison of the current datum to the maximal previous datum in the spike, which is implemented using an 8-bit comparator. Data is latched if it exceeds the value of the previous maximal value in the spike. This clocked version of the logic was used to characterize the performance of the adaptive synchronous unit. B. Asynchronous Units and Handshaking The asynchronous version of the maximum value finding function used a similar methodology to the clocked function. It takes in an eight-bit datum, FIFO position, and spike count and outputs dataready, maximum datum from the spike, and the position of that maximum datum in the FIFO. In reality, it would also need to send a ready signal to the FIFO to request new data. However, this functionality was not implemented for the simulation, as data input rate was swept to find the intrinsic operating frequency of the asynchronous circuit. In an effort to compare similar technologies, and to continue to use the synthesis tools for place and route, the asynchronous

3 EECS 241 PROCEEDINGS, VOL. 14, NO. 2, MAY Fig. 3. Synchronous Spike Sorting Maximum Function module needed to use the same logic and standard cells as the synchronous module. Prior work in [5], used several different techniques to acheiver asynchronous logic. One of these methods is a glitch-free handshaking logic. There are several elements of this logic which make it effecient and effective, including an enabled sense amplifier, a C-element, and most notably, pass gate logic. In [5], pass gate logic is an inherently lower power solution that CMOS gates, and is a wise choice for low-power circuits. In addition, it provides an additional benefit in that this implementation can easily reset all internal nodes to ground if the driving signal is grounded. This reset functionality is benefitial to detecting the resolution of data values. The passgate logic implemented differential logic, which must resovle to non-identical values upon completion. Thus, if one resets the values of the driver between each piece of incoming data, as is done in [5], one is able to provide a signal to the next cell block that data is ready and request that the previous cell send new data. However, being restricted to the same standard cell library as the synchronous module, it was necessary to take a more traditional CMOS approach. Therefore, a complementary data path was manually implemented. Again, the comparison of the current datum to the maximal previous datum in the spike is the key logical element in this process. Once the comparason function is complete, new data present on the data line would not corrupt the analysis of the current data. Thus, the complementary data path indicates when the data had completed the comparison function. A representation of the implemented logic may be found in Figure 4. The path which indicates the new data is greater than the previous data and the path which resolves true when the new data is less than or equal to the current data both need to be initialized to a known value. In this case, both comparator paths resolve to a true value. Because these paths were initialized to the same value, the resolution of the data could be detected easily by detecting the time at which the two path outputs differ. The data through signal triggers the storage of the current position data, overwriting the data from the previous position. Once the new data is stored, a data request line is asserted and Fig. 4. Asynchronous Spike Sorting Maximum Function. the comparator is put into reset until new data is presented. In this way, a methodology similar to handshaking is created, but standard logic cells are used and the design was able to pass through the synthesis tools. C. Adaptive Frequency and Voltage Scaling Control Circuitry Assuming that the adaptive frequencies are less than the global clock, we can implement the change in frequency with a clock divider. This is an accurate assumption because lower Vdds should require a lower frequency. The clock divider would essentially just be a counter that counts a whole number of global clock cycles before it turns high. The voltage scaling circuitry would take the global supply and reduce it using one of many possible techniques. Linear regulators are good for small changes in supply voltage but are inefficient when switching between large voltages. A capacitive regulator could also be used, although it would result in voltage ripples. Finally, a magnetic regulator would offer the highest efficiency, but would require external components. We have not synthesized such circuitry, however, so this added overhead should be taken into account later. D. Alternative Critical Path Monitors 1) State-of-the-Art: Subthreshold Critical Path Monitors: Critical path monitors are scarce in recent sub-threshold work, which often cites their lack of ability to deal with local variation as a reason to use timing error detection instead [7]. Because current is exponentially dependent on V th in the subthreshold region, logic delay is extremely sensitive to PVT variation. Therefore, it is difficult to design a robust critical path replica delay line, and most find it easier to allow for and detect errors. The only apparent sub-threshold critical path monitoring circuitry in recent literature was implemented in an asynchronous system [8]. For these reasons we have not attempted to implement a critical path monitor in our adaptive system.

4 EECS 241 PROCEEDINGS, VOL. 14, NO. 2, MAY ) Energy Tracking Alternative: In order to avoid a critical path monitor that is constantly active, we could instead determine the speed of a chip on startup and then use parallel pathways to allow for a slower clock frequency and an equal energy. If the chip is fast, then perhaps only one logic block would be active and operating at some frequency Fs. If the chip is slow, then some number N identical logic blocks would operate at Fs/N. Since you are operating on N data packets at a time, processing N pieces of data would take the same amount of time. The major disadvantage to this technique, however, is that there is a large area overhead due to having N copies of the data path. There would also have to be power gating to the paths that weren t used in order to minimize leakage coming from them. A. Simulations IV. TEST METHODS After describing the logic paths in Verilog, we pushed each module through the EE241 toolflow, which uses a 28/32nm predictive technology. This included simulating in RTL, DC synthesis, and IC Compiler place and route. Once the posticc-par GDS and Verilog files were obtained, these were imported into Cadence Virtuoso as the layout and schematic, respectively, of our module. A transistor level netlist was generated after running LVS and StarRC extraction to include parasitics in our simulations. Figure 5 shows this process flow. Fig. 5. Project Tool Flow. To characterize the synchronous unit, we ran HSPICE simulations at different voltages between 0.2 and 1V, finding the maximum operating frequency at each voltage that resulted in no errors. The measured integrated current drawn from the power supplies, I int, was used to calculate total power over run time and energy per operation with the formula E= Iint V DD x, where x is the number of operations per simulation. We then simulated the asynchronous unit at each of these frequencies, finding the minimum corresponding voltage that gave no errors, and calculated energy per operation in the same manner. For all of these simulations, we swept frequency values only to the most significant digit and voltage values to two significant digits in order to reduce simulation time. We ensured a fair comparison between the asynchronous and synchronous units by simulating under the same conditions, with the same data being input in the form of a.vec test vector file. To make the simulation approximate the amount of switching that would occur with actual neural signals, we used simplified spike data (provided by Rikky Muller) as our test vectors. Additionally, we simulated each unit at body temperature (37 C) as our intended application is an implanted device and must operate within a degree of body temperature. Please note, simulations were only run at the TT corner. The goal of this research is to compare asynchronous and adaptive timing architectures, rather than fully characterize one or the other across all process variations. Process variation should have the same effect on each timing scheme and simply map each scheme to a different timing number. The relationship between the energy of the two should not change. Effectively, if one timing scheme is lower energy at TT, it will be lower energy at the slow and fast corners as well. B. MATLAB Power numbers for the adaptive synchronous technique were determined using a combination of SPICE and MAT- LAB. The logic for the finite state machine associated with the adaptive method was implemented in MATLAB to model the effects in an intuitive manner. In order to create a representative model, the power and energy per cycle required by a synchronous and an asynchronous circuit at various predetermined VDDs and frequencies were evaluated in SPICE, as mentioned above. For the adaptive synchronous method, the MATLAB model determines which VDD and frequency calculation is the most appropriate per cycle based on the fullness of the FIFO. Based on the selected clock frequency, the model removes an appropriate amount of data from the FIFO. This change in FIFO fullness further adjusts the chosen VDD and clock frequency. For both the asynchronous and the purely synchronous method, we selected the VDD that achieved an equal frequency to the maximum input data frequency in order to avoid FIFO overflows. The input data rate for each of the timing methods was varied over the same range, and the total energy required to process a set number of data packets (spikes) was recorded. A. SPICE Results V. RESULTS The maximum operating frequencies for the synchronous circuit at Vdds from 0.2V to 1V as well as the asynchronous Vdd that achieves the same frequency can be seen in Figure 6. The asynchronous circuit can perform at the same frequency as the synchronous circuit, but at a much lower Vdd. To analyze the effect of leakage, the static and dynamic current at each operating point is shown in Figure 7. As expected, the leakage (static) current expontentially increases with increasing Vdd, and the dynamic current increases with the square of Vdd. We can also see that leakage current dominates in this system. Figure 8 compares the energy per operation of the asynchronous and the synchronous circuits at specific frequencies. At high frequencies, the asynchronous circuit requires less

5 EECS 241 PROCEEDINGS, VOL. 14, NO. 2, MAY Fig. 6. For each frequency, the asynchronous circuit can operate at a lower Vdd. Fig. 7. Static and Dynamic Leakage Current vs Vdd. energy because it operates at a lower Vdd. This graph shows that the asynchronous circuit also requires less energy per operation at low frequencies. Thus, this displays no trade off. However, this does not align with the fact that the asynchronous Verilog code actually had more logic and overhead in it than the synchronous code. We would therefore expect the asynchronous to use more energy at low frequencies because it would likely have more gates whose leakage current is integrated for long periods. The key to this discrepancy is that even though there was more logic in the asynchronous Verilog code, Synopsis must have optimized it because the asynchronous netlist actually had 300 fewer mosfets and over 5,000 fewer resistors and capacitors, which significantly reduces leakage. B. Simulated MATLAB Results In the process of determining the maximum operating frequency of our synchronous circuits at various supply voltages in SPICE, we found that even at the lowest supply of 0.2V, the synchronous circuit was able to operate at 250KHz, and the asynchronous circuits was able to operate at 2MHz. Both of these are significantly higher than our ADC sampling rate of 20kHz. Because energy is not lowered if you operate at a slower frequency without scaling the supply, it is not logical to implement an adaptive frequency technique with our current neural spike sorting application. However, adaptive timing is still useful as long as the incoming data frequency is variable and the maximum data frequency is similar to the max circuit operating frequency at high supply voltages. Therefore, in our MATLAB simulations, we set the maximum data stream frequency equal to the synchronous frequency at 0.9V (250MHz). Now, there will be a trade off between faster processing time and lower energy. In order to avoid FIFO overflow in the purely synchronous case, we chose a synchronous frequency of 250MHz (0.9V). This same frequency was also chosen for the asynchronous simulation, but with a required supply voltage of 0.9V. Each packet of relevant data contained 40 8-bit numbers, and 11 packets were sent each simulation. The data event frequency was determined by adjusting the delay between packets. The maximum data event frequency occurs when there is no space between packets (6MHz). When an event is detected, the packet is sent into the FIFO to await processing. Figure 9 shows the total energy required by these three timing techniques and the average chosen processing supply voltage at data event frequencies from 0.2MHz to 5MHz. Fig. 9. MATLAB Results. Top: Total energy required to process 11 data events occuring at various frequencies. The Adaptive method achieves a minimum energy at 0.6MHz and is the lowest energy up to data rates around 1.5MHz. Bottom: Average processing supply voltage. To handle higher data frequencies, the adaptive voltage must increase. Fig. 8. Energy per Operation vs Frequency. Asynchronous is lower energy even at low frequencies. There are a few trends in this figure. First, for the methods that use a set Vdd and frequency, energy is reduced as frequency increases. This is because the circuits are operating at the same Vdd and frequency regardless of whether they are processing data. (The energy associated with lag time between data events was determined in SPICE and accounted for here.) Second, the asynchronous method is always lower energy than the pure synchronous because it requires a lower Vdd for the same frequency. Third, the adaptive method is the

6 EECS 241 PROCEEDINGS, VOL. 14, NO. 2, MAY lowest energy up to data rates around 1.5MHz. This is because at that frequency, the average Vdd needed by the adaptive circuit is greater than the constant Vdd of the asynchronous circuit. Finally, the adaptive method has a minimum energy point at 0.6MHz. This can be explained by examining Figure 10. Each of the graphs show the incoming data stream on top, the number of elements in the FIFO at each clock cycle, and the chosen Vdd based on the FIFO s current state. Spikes were chosen as the data packets here for illustrative purposes only since neurons are incapable of firing at such high frequencies. In the top graph, data coming in at the highest data rate of 5MHz quickly fills the FIFO, which causes the chosen Vdd and frequency to increase accordingly. In the middle graph at 0.6MHz, the circuit is always operating on data at a low Vdd, and there is no dead time spent burning energy while waiting for data to arrive. In the bottom graph at 0.5MHz, we can see that the FIFO is empty periodically, which is not energy efficient. The red indicates waiting time. This is why we see a minimum at 0.6MHz because at this frequency, the average operating voltage is about 0.5V, and there is no idle time. Higher than this frequency requires more energy to process faster data, and lower than this frequency burns more energy waiting for data to arrive. C. Known Approximations and Consequences As previously stated, we only used the TT corner during simulations, as relative energy between the two designs should not change significantly at different corners. Another simplification we made was to use test vector data designed to be representative of typical spike data, not the worse case scenario. Testing across a wide range of frequencies and voltages is an open problem. Finally, we did not take noise into account in our analysis. However, we assume that noise will degrade the performance of both circuits, and can therefore be ignored in a comparative evalutation. Fig. 10. Adaptive Timing MATLAB Simulations. Each of the graphs show the incoming data stream on top, the number of elements in the FIFO at each clock cycle in the middle, and the chosen Vdd based on the FIFO s current state on the bottom. 0.6MHz is optimal because it operates at the lowest Vdd without burning extra energy waiting for data to enter the FIFO. VI. CONCLUSION Our simulations suggest that despite asynchronous logic being able to operate at a lower voltage than adaptive at any one given frequency, adaptive logic actually provides energy savings at data rates below 1.5MHz due to a lower average operating voltage. However, for the speed of a neural processor, both circuits operate at the lowest supply voltage, and leakage current dominates in both. Also, at the minimum supply, there is no room to adapt the voltage any further. No such comparison has been done previously, yet there is still room for improvement. Future work should involve simulating more complex and architecturally complete systems, or an investigation into leakage suppression techniques. The work presented here provides a good first step in a systematic comparison of adaptive and asynchronous timing for neural processors. ACKNOWLEDGMENT The authors would like to thank Bora Nikolic for his patient guidance and mentorship; TT Liu for his willingness to share his research; Nathan Narevsky for answering our many questions about AP detection; Rikky Muller for supplying spike data; Jan Rabaey for insightful discussion and for directing us to several useful references; Stevo Bailey for miscellaneous help with the tool chain and digital logic; and Brian Zimmer for help with troubleshooting and answering our endless questions about the tools used here. REFERENCES [1] T.-T. Liu and J. Rabaey, A 0.25v 460nw asynchronous neural signal processor with inherent leakage suppression, in VLSI Circuits (VLSIC), 2012 Symposium on, June, pp [2] S. Mitra, J. Putzeys, F. Battaglia, C. M. Lopez, M. Welkenhuysen, C. Pennartz, V. Hoof, and R. F. Yazicioglu, 24 channel dual-band wireless neural recorder with activity dependent power consumption, in Solid-State Circuits Conference, ISSCC 13 60th International, Feb. 2013, pp [3] T. D. Burd, Energy-Efficient Processor System Design, Ph.D. dissertation, University of California, Berkeley, Spring [4] C.-C. Peng, P. Sabharwal, and R. Bashirullah, An adaptive neural spike detector with threshold-lock loop, in Circuits and Systems, ISCAS IEEE International Symposium on, May, pp [5] T.-T. Liu, Towards an ultra-low energy computation with asynchronous circuits, Ph.D. dissertation, University of California, Berkeley, 2012.

7 EECS 241 PROCEEDINGS, VOL. 14, NO. 2, MAY [6] C. R. Lefurgy, A. J. Drake, M. S. Floyd, M. S. Allen-Ware, B. Brock, J. A. Tierno, and J. B. Carter, Active management of timing guardband to save energy in power7, in Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture. ACM, 2011, pp [7] E. Laulainen, M. Turnquist, J. Makipaa, and L. Koskinen, Adaptive subthreshold timing-error detection 8 bit microcontroller in 65 nm cmos, in Circuits and Systems (ISCAS), 2012 IEEE International Symposium on, 2012, pp [8] I. J. Chang, S. P. Park, and K. Roy, Exploring asynchronous design techniques for process-tolerant and energy-efficient subthreshold operation, Solid-State Circuits, IEEE Journal of, vol. 45, no. 2, pp , 2010.

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

Supply-Adaptive Performance Monitoring/Control Employing ILRO Frequency Tuning for Highly Efficient Multicore Processors

Supply-Adaptive Performance Monitoring/Control Employing ILRO Frequency Tuning for Highly Efficient Multicore Processors EE 241 Project Final Report 2013 1 Supply-Adaptive Performance Monitoring/Control Employing ILRO Frequency Tuning for Highly Efficient Multicore Processors Jaeduk Han, Student Member, IEEE, Angie Wang,

More information

A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important?

A/D Conversion and Filtering for Ultra Low Power Radios. Dejan Radjen Yasser Sherazi. Advanced Digital IC Design. Contents. Why is this important? 1 Advanced Digital IC Design A/D Conversion and Filtering for Ultra Low Power Radios Dejan Radjen Yasser Sherazi Contents A/D Conversion A/D Converters Introduction ΔΣ modulator for Ultra Low Power Radios

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

The Design and Characterization of an 8-bit ADC for 250 o C Operation

The Design and Characterization of an 8-bit ADC for 250 o C Operation The Design and Characterization of an 8-bit ADC for 25 o C Operation By Lynn Reed, John Hoenig and Vema Reddy Tekmos, Inc. 791 E. Riverside Drive, Bldg. 2, Suite 15, Austin, TX 78744 Abstract Many high

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 15.7 A 4µA-Quiescent-Current Dual-Mode Buck Converter IC for Cellular Phone Applications Jinwen Xiao, Angel Peterchev, Jianhui Zhang, Seth Sanders

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING

DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING 3 rd Int. Conf. CiiT, Molika, Dec.12-15, 2002 31 DESIGN FOR LOW-POWER USING MULTI-PHASE AND MULTI- FREQUENCY CLOCKING M. Stojčev, G. Jovanović Faculty of Electronic Engineering, University of Niš Beogradska

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 1 PG student, Department of ECE, Vivekanandha College of Engineering for Women. 2 Assistant

More information

MDLL & Slave Delay Line performance analysis using novel delay modeling

MDLL & Slave Delay Line performance analysis using novel delay modeling MDLL & Slave Delay Line performance analysis using novel delay modeling Abhijith Kashyap, Avinash S and Kalpesh Shah Backplane IP division, Texas Instruments, Bangalore, India E-mail : abhijith.r.kashyap@ti.com

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Projects. Groups of 3 Proposals in two weeks (2/20) Topics: Lecture 5: Transistor Models EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 5: Transistor Models Projects Groups of 3 Proposals in two weeks (2/20) Topics: Soft errors in datapaths Soft errors in memory Integration

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code: Global Journal of researches in engineering Electrical and electronics engineering Volume 12 Issue 3 Version 1.0 March 2012 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor(SJIF): 3.134 e-issn(o): 2348-4470 p-issn(p): 2348-6406 International Journal of Advance Engineering and Research Development Volume 1,Issue 12, December -2014 Design

More information

DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY

DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY Silpa Kesav 1, K.S.Nayanathara 2 and B.K. Madhavi 3 1,2 (ECE, CVR College of Engineering, Hyderabad, India) 3 (ECE, Sridevi Women s Engineering

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

ISSN:

ISSN: 1391 DESIGN OF 9 BIT SAR ADC USING HIGH SPEED AND HIGH RESOLUTION OPEN LOOP CMOS COMPARATOR IN 180NM TECHNOLOGY WITH R-2R DAC TOPOLOGY AKHIL A 1, SUNIL JACOB 2 1 M.Tech Student, 2 Associate Professor,

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

DESIGNING powerful and versatile computing systems is

DESIGNING powerful and versatile computing systems is 560 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 15, NO. 5, MAY 2007 Variation-Aware Adaptive Voltage Scaling System Mohamed Elgebaly, Member, IEEE, and Manoj Sachdev, Senior

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Yet, many signal processing systems require both digital and analog circuits. To enable

Yet, many signal processing systems require both digital and analog circuits. To enable Introduction Field-Programmable Gate Arrays (FPGAs) have been a superb solution for rapid and reliable prototyping of digital logic systems at low cost for more than twenty years. Yet, many signal processing

More information

Sub-threshold Logic Circuit Design using Feedback Equalization

Sub-threshold Logic Circuit Design using Feedback Equalization Sub-threshold Logic Circuit esign using Feedback Equalization Mahmoud Zangeneh and Ajay Joshi Electrical and Computer Engineering epartment, Boston University, Boston, MA, USA {zangeneh, joshi}@bu.edu

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE MS. V.NIVEDITHA 1,D.MARUTHI KUMAR 2 1 PG Scholar in M.Tech, 2 Assistant Professor, Dept. of E.C.E,Srinivasa Ramanujan Institute

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

Designing Nano Scale CMOS Adaptive PLL to Deal, Process Variability and Leakage Current for Better Circuit Performance

Designing Nano Scale CMOS Adaptive PLL to Deal, Process Variability and Leakage Current for Better Circuit Performance International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 1, Issue 3, June 2014, PP 18-30 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org Designing

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

Design of Multiplier using Low Power CMOS Technology

Design of Multiplier using Low Power CMOS Technology Page 203 Design of Multiplier using Low Power CMOS Technology G.Nathiya 1 and M.Balasubramani 2 1 PG Student, Department of ECE, Vivekanandha College of Engineering for Women, India. Email: nathiya.mani94@gmail.com

More information

Design of High Gain Two stage Op-Amp using 90nm Technology

Design of High Gain Two stage Op-Amp using 90nm Technology Design of High Gain Two stage Op-Amp using 90nm Technology Shaik Aqeel 1, P. Krishna Deva 2, C. Mahesh Babu 3 and R.Ganesh 4 1 CVR College of Engineering/UG Student, Hyderabad, India 2 CVR College of Engineering/UG

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

Increasing Performance Requirements and Tightening Cost Constraints

Increasing Performance Requirements and Tightening Cost Constraints Maxim > Design Support > Technical Documents > Application Notes > Power-Supply Circuits > APP 3767 Keywords: Intel, AMD, CPU, current balancing, voltage positioning APPLICATION NOTE 3767 Meeting the Challenges

More information

LOW POWER SCANNER FOR HIGH-DENSITY ELECTRODE ARRAY NEURAL RECORDING

LOW POWER SCANNER FOR HIGH-DENSITY ELECTRODE ARRAY NEURAL RECORDING LOW POWER SCANNER FOR HIGH-DENSITY ELECTRODE ARRAY NEURAL RECORDING A Thesis work submitted to the faculty of San Francisco State University In Partial Fulfillment of the Requirements for the Degree Master

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Hendrawan Soeleman, Kaushik Roy, and Bipul Paul Purdue University Department of Electrical and Computer Engineering West Lafayette, IN 797, USA fsoeleman,

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters

Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Deep-Submicron CMOS Design Methodology for High-Performance Low- Power Analog-to-Digital Converters Abstract In this paper, we present a complete design methodology for high-performance low-power Analog-to-Digital

More information

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology Research Paper American Journal of Engineering Research (AJER) e-issn : 2320-0847 p-issn : 2320-0936 Volume-3, Issue-9, pp-15-19 www.ajer.org Open Access Design of a Low Voltage low Power Double tail comparator

More information

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage

An 11 Bit Sub- Ranging SAR ADC with Input Signal Range of Twice Supply Voltage D. Aksin, M.A. Al- Shyoukh, F. Maloberti: "An 11 Bit Sub-Ranging SAR ADC with Input Signal Range of Twice Supply Voltage"; IEEE International Symposium on Circuits and Systems, ISCAS 2007, New Orleans,

More information

Sensing Voltage Transients Using Built-in Voltage Sensor

Sensing Voltage Transients Using Built-in Voltage Sensor Sensing Voltage Transients Using Built-in Voltage Sensor ABSTRACT Voltage transient is a kind of voltage fluctuation caused by circuit inductance. If strong enough, voltage transients can cause system

More information

A Static Power Model for Architects

A Static Power Model for Architects A Static Power Model for Architects J. Adam Butts and Guri Sohi University of Wisconsin-Madison {butts,sohi}@cs.wisc.edu 33rd International Symposium on Microarchitecture Monterey, California December,

More information

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers Accurate Timing and Power Characterization of Static Single-Track Full-Buffers By Rahul Rithe Department of Electronics & Electrical Communication Engineering Indian Institute of Technology Kharagpur,

More information

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.5.577 ISSN(Online) 2233-4866 Low and High Performance Level-up Shifters

More information

Low Power VLSI Circuit Synthesis: Introduction and Course Outline

Low Power VLSI Circuit Synthesis: Introduction and Course Outline Low Power VLSI Circuit Synthesis: Introduction and Course Outline Ajit Pal Professor Department of Computer Science and Engineering Indian Institute of Technology Kharagpur INDIA -721302 Agenda Why Low

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here

Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, May This material is posted here Copyright 2007 Year IEEE. Reprinted from ISCAS 2007 International Symposium on Circuits and Systems, 27-30 May 2007. This material is posted here with permission of the IEEE. Such permission of the IEEE

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication

A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication A Level-Encoded Transition Signaling Protocol for High-Throughput Asynchronous Global Communication Peggy B. McGee, Melinda Y. Agyekum, Moustafa M. Mohamed and Steven M. Nowick {pmcgee, melinda, mmohamed,

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information

High efficiency DC-DC Buck converter architecture suitable for embedded applications using switched capacitor

High efficiency DC-DC Buck converter architecture suitable for embedded applications using switched capacitor International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 2 Issue 4 ǁ April. 2013 ǁ PP.15-19 High efficiency DC-DC Buck converter architecture suitable

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements EE290C - Spring 04 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture 11 Components Phase-Locked Loops Viterbi Decoder Borivoje Nikolic March 2, 04. Announcements Homework #2 due

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

Computer-Based Project in VLSI Design Co 3/7

Computer-Based Project in VLSI Design Co 3/7 Computer-Based Project in VLSI Design Co 3/7 As outlined in an earlier section, the target design represents a Manchester encoder/decoder. It comprises the following elements: A ring oscillator module,

More information

A Review of Clock Gating Techniques in Low Power Applications

A Review of Clock Gating Techniques in Low Power Applications A Review of Clock Gating Techniques in Low Power Applications Saurabh Kshirsagar 1, Dr. M B Mali 2 P.G. Student, Department of Electronics and Telecommunication, SCOE, Pune, Maharashtra, India 1 Head of

More information

Glitch Power Reduction for Low Power IC Design

Glitch Power Reduction for Low Power IC Design This document is an author-formatted work. The definitive version for citation appears as: N. Weng, J. S. Yuan, R. F. DeMara, D. Ferguson, and M. Hagedorn, Glitch Power Reduction for Low Power IC Design,

More information

Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures

Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures Energy Reduction of Ultra-Low Voltage VLSI Circuits by Digit-Serial Architectures Muhammad Umar Karim Khan Smart Sensor Architecture Lab, KAIST Daejeon, South Korea umar@kaist.ac.kr Chong Min Kyung Smart

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Lecture 10. Circuit Pitfalls

Lecture 10. Circuit Pitfalls Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski

More information

Sub-Clock Power-Gating Technique for Minimising Leakage Power During Active Mode

Sub-Clock Power-Gating Technique for Minimising Leakage Power During Active Mode Sub-Clock Power-Gating Technique for Minimising Leakage Power During Active Mode Jatin N. Mistry, Bashir M. Al-Hashimi, David Flynn and Stephen Hill School of Electronics & Computer Science, University

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Lecture 13 CMOS Power Dissipation

Lecture 13 CMOS Power Dissipation EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 13 CMOS Power Dissipation Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken,

More information

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck Synchronous Mirror Delays ECG 721 Memory Circuit Design Kevin Buck 11/25/2015 Introduction A synchronous mirror delay (SMD) is a type of clock generation circuit Unlike DLLs and PLLs an SMD is an open

More information

MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns

MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns MTCMOS Hierarchical Sizing Based on Mutual Exclusive Discharge Patterns James Kao, Siva Narendra, Anantha Chandrakasan Department of Electrical Engineering and Computer Science Massachusetts Institute

More information

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN Mr. Sunil Jadhav 1, Prof. Sachin Borse 2 1 Student (M.E. Digital Signal Processing), Late G. N. Sapkal College of Engineering, Nashik,jsunile@gmail.com 2 Professor

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

Analysis of the system level design of a 1.5 bit/stage pipeline ADC 1 Amit Kumar Tripathi, 2 Rishi Singhal, 3 Anurag Verma

Analysis of the system level design of a 1.5 bit/stage pipeline ADC 1 Amit Kumar Tripathi, 2 Rishi Singhal, 3 Anurag Verma 014 Fourth International Conference on Advanced Computing & Communication Technologies Analysis of the system level design of a 1.5 bit/stage pipeline ADC 1 Amit Kumar Tripathi, Rishi Singhal, 3 Anurag

More information

Optimization of power in different circuits using MTCMOS Technique

Optimization of power in different circuits using MTCMOS Technique Optimization of power in different circuits using MTCMOS Technique 1 G.Raghu Nandan Reddy, 2 T.V. Ananthalakshmi Department of ECE, SRM University Chennai. 1 Raghunandhan424@gmail.com, 2 ananthalakshmi.tv@ktr.srmuniv.ac.in

More information