This article appeared in a journal published by Elsevier. The attached copy is furnished to the author for internal non-commercial research and

Size: px
Start display at page:

Download "This article appeared in a journal published by Elsevier. The attached copy is furnished to the author for internal non-commercial research and"

Transcription

1 This article appeared in a journal published by Elsevier. The attached copy is furnished to the author for internal non-commercial research and education use, including for instruction at the authors institution and sharing with colleagues. Other uses, including reproduction and distribution, or selling or licensing copies, or posting to personal, institutional or third party websites are prohibited. In most cases authors are permitted to post their version of the article (e.g. in Word or Tex form) to their personal website or institutional repository. Authors requiring further information regarding Elsevier s archiving and manuscript policies are encouraged to visit:

2 Solid-State Electronics 53 (2009) Contents lists available at ScienceDirect Solid-State Electronics journal homepage: Mobility extraction in SOI MOSFETs with sub 1 nm body thickness M. Schmidt a, *, M.C. Lemme b, H.D.B. Gottlob a, F. Driussi c, L. Selmi c, H. Kurz a a Advanced Microelectronic Center Aachen (AMICA), AMO GmbH, Otto-Blumenthal-Str. 25, Aachen, Germany b Department of Physics, Harvard University, 11 Oxford St., Cambridge, MA 02138, USA c DIEGM, University of Udine IU.NET, via delle Scienze 208, Udine, Italy article info abstract Article history: Received 2 June 2009 Received in revised form 9 September 2009 Accepted 10 September 2009 Available online 9 October 2009 The review of this paper was arranged by Prof. S. Mantl Keywords: SOI MOSFET Mobility Fully depleted Ultra thin body In this work we discuss limitations of the split-cv method when it is used for extracting carrier mobilities in devices with thin silicon channels like FinFETs, ultra thin body silicon-on-insulator (UTB-SOI) transistors and nanowire MOSFETs. We show that the high series resistance may cause frequency dispersion during the split-cv measurements, which leads to underestimating the inversion charge density and hence overestimating mobility. We demonstrate this effect by comparing UTB- SOI transistors with both recessed-gate UTB-SOI devices and thicker conventional SOI MOSFETs. In addition, the intrinsic high series access resistance in UTB-SOI MOSFETs can potentially lead to an overestimation of the effective internal source/drain voltage, which in turn results in a severe underestimation of the carrier mobility. A specific MOSFET test structure that includes additional 4-point probe channel contacts is demonstrated to circumvent this problem. Finally, we accurately extract mobility in UTB-SOI transistors down to 0.9 nm silicon film thickness (four atomic layers) by utilizing the 4-point probe method and carefully choosing adequate frequencies for the split-cv measurements. It is found that in such thin silicon film thicknesses quantum mechanical effects shift the threshold voltage and degrade mobility. Ó 2009 Elsevier Ltd. All rights reserved. 1. Introduction Scaling of CMOS technology beyond the 32 nm node seems questionable using bulk silicon technology. Fully depleted channel transistors such as Fin-field effect transistors (FinFETs) or ultra thin body silicon-on-insulator (UTB-SOI) MOSFETs are considered promising candidates for future CMOS applications. Their advantages are high short-channel-effect (SCE) immunity, nearly ideal subthreshold swing, enhanced current drive, higher I On /I Off ratios and better control of threshold voltages V th [1 4]. A major concern with fully depleted MOSFETs as the silicon film thickness decreases below 10 nm is their high parasitic source and drain series resistance. While this inherent feature may eventually be solved by selective epitaxy and/or silicided source drain leads [5 7], there is an urgent need to extract reliable device parameters even from test devices available today. Inversion channel mobility, in particular, can not be extracted accurately from standard split- C/V [8] and drain current measurements due to the high parasitic * Corresponding author. Tel.: ; fax: address: schmidt@amo.de (M. Schmidt). source and drain resistance of such MOSFETs, unless specific structures are implemented [9]. For UTB-SOI MOSFETs, a mobility extraction test structure has been proposed that includes additional contacts to the inversion layer [9]. These allow four-point probe measurements of the intrinsic voltage drop across the channel (compare Fig. 1a) and thus eliminate access series resistance. While this mobility test structure has been applied to SOI and strained SOI devices [10,11], it has not yet been tested for ultimately thin channels of a few atomic layers. In addition, the split-cv method requires measuring the gate to channel capacitance. Here, the combination of large access and channel resistance may lead to frequency dispersion effects, similar to the well known effect in conventional MOS capacitors [12]. This must be taken into account when correctly extracting carrier mobility with the split-cv method. In this article, we demonstrate frequency dispersion during mobility extraction with the split-cv method and discuss its effects for UTB-SOI MOSFETs below 10 nm channel thickness by comparing UTB-SOI, recessed-gate UTB and conventional SOI MOSFETs (compare Fig. 1). We further verify a 4-point probe method for mobility extraction to avoid access resistance issues in UTB devices. Finally, we present mobility data extracted with the 4-point probe method and taking into account frequency dispersion from UTB-SOI n-mosfets down to 0.9 nm SOI thickness /$ - see front matter Ó 2009 Elsevier Ltd. All rights reserved. doi: /j.sse

3 M. Schmidt et al. / Solid-State Electronics 53 (2009) conventional SOI MOSFETs, called reference from here on, where the source and drain leads and the silicon channel are 50 nm thick, UTB-SOI MOSFETs, with constant silicon thicknesses below t Si =20nm(Fig. 1b), recessed-gate UTB-SOI MOSFETs, with channels below t Si =30nm, but t Si = 50 nm source/drain leads (Fig. 1c). Fig. 1. (a) Schematic top-view of a 4-point probe SOI MOSFET with two additional contacts to the channel (V L/R ). (b) Schematic cross section of an UTB-SOI MOSFET with a constant silicon thickness across the source, drain and channel. Note that the reference devices are fabricated in a similar manner with a thicker silicon thickness of 50 nm. (c) Schematic cross section of a recessed-gate UTB-SOI MOSFET. 2. Experimental 2.1. Mobility extraction The effective mobility (l eff ) of a MOSFET is defined in l eff ¼ L I DS ðv G Þ ð1þ W V DS Q inv ðv G Þ where L and W are the given gate length and gate width and I DS is the measured drain to source current (as a function of gate voltage V G ). Key for the correct extraction of l eff, however, is the precise knowledge of the internal voltage drop V DS_int across the channel and the measurement of the inversion charge density Q inv. Both V DS and Q inv are strongly affected by high access resistance. Esseni et al. have therefore proposed a specific large area MOSFET with additional contacts to the active channel region [9], as shown schematically in Fig. 1a. For the transistors investigated in this work, we have chosen gate lengths of L = 100 lm and various channel widths of W = 15, 30 and 40 lm. These rather large dimensions minimize the influence of parasitic capacitances. The additional contacts V L and V R are spaced 75 lm and enable the currentless measurement of the voltage drop across L L/R. This voltage can then be extrapolated to the full voltage drop V DS_int between the physical source and drain with their distance of L = 100 lm. The inversion charge Q inv can be determined from the inversion charge density N inv by integrating the gate to channel capacitance C GC measured between the shorted source and drain contacts and the gate as (q is the elementary charge) [8]. Q inv ¼ qn inv ¼ Z V G 1 C GC ðv G ÞdV G In the case of a single gate UTB-SOI MOSFET, the effective vertical electric field E eff can be deduced from Q inv according to [13]: E eff ¼ Q inv 2e Si 2.2. Device fabrication Three different types of devices with intrinsically different access resistances have been fabricated: ð2þ ð3þ Note that the source/drain leads have the same thickness as the channel in both reference devices and UTB-SOI MOSFETs. In the case of recessed-gate UTB-SOI devices, however, the leads are thicker than the channel, which leads to reduced access resistances. The starting material for all devices was UNIBOND SOI substrate with a Si(1 0 0) active silicon layer and a buried oxide (BOX) thickness of 100 nm. All devices are n-mos type with a boron channel doping level of 1e15/cm 3, i.e. virtually undoped and in (1 0 0) orientation. Initial silicon thicknesses of 50, 20 and 10 nm have been used to fabricate the reference and UTB-SOI MOSFETs (Fig. 1b). For recessed-gate SOI MOSFETs (Fig. 1c), the starting material was SOI substrate with a silicon thickness of 50 nm. For these devices, a LO- COS process with a silicon nitride (Si 3 N 4 ) mask has been used to reduce the thickness of the silicon channel areas only. Repeated thermal oxidation and wet chemical oxide removal has been carried out to realize different silicon thicknesses down to 0.9 nm. Standard lithography and reactive ion etching (RIE) have been used for mesa isolation of the devices. A gate oxide of t Ox = 8.4 nm has been thermally grown followed by low pressure chemical vapor deposition of 150 nm poly silicon as gate electrode material. The gates have been patterned in a highly anisotropic HBr/O 2 - based RIE process with high selectivity to the underlying gate oxide [15,16]. Finally, self aligned arsenic ion implantation has been carried out to form the source, drain and gate regions as well as the contacts to the inversion channel (compare Fig. 1a). The dopants have been activated by rapid thermal annealing at 980 C for 45 s in nitrogen ambient. Finally a forming gas annealing step has been applied to passivate interface states and oxide charges and to improve device characteristics. 3. Results and discussion 3.1. Body thickness evaluation The body thickness has been evaluated using CV measurements and transmission electron microscope (TEM) analysis. The CV method, which has been proposed by Chen et al. [17], relies on the accurate decoupling of the gate and silicon capacitances from the buried oxide capacitance. CV measurements between the gate and source/drain contacts for reference and UTB-SOI MOSFETs with nm top silicon thickness are shown in Fig. 2a. Without a back gate voltage (V BG = 0 V), the measured inversion capacitance is modulated by the front gate voltage V G between 4 mf/m 2 and a very low value (due to scale: 0 F/m 2 ), which corresponds to the oxide capacitance of the 8 nm thick gate oxide (4 mf/m 2 ) and to the series of gate-, silicon- and BOX capacitance. However to extract the silicon film thickness from the CV measurement, the gate and silicon capacitances must be decoupled from the BOX. To this end, a back gate voltage has been applied to form an inversion layer at the back interface. The minimum inversion capacitance C inv, i.e. the series capacitance of gate oxide and silicon film, has then been used to extract the respective silicon thickness t Si (for details see e.g. [17]). The resulting body thicknesses t Si have been plotted versus ellipsometry data measured before gate oxide growth (Fig. 2b). The mismatch of 5 nm between electrical and ellipsometric thickness corresponds to the silicon loss during device processing such as wet cleaning and gate oxide growth. The resulting silicon thickness in case of the 10 nm UTB-SOI MOSFET is

4 1248 M. Schmidt et al. / Solid-State Electronics 53 (2009) Fig. 3. Transmission electron microscope cross sectional view of a four atomic layer thin silicon channel, imaged after taking electrical measurements of the recessedgate UTB-SOI n-mosfet (schematic inset). below), and matches the trend of film thicknesses as measured by TEM Gate capacitance measurements Fig. 2. (a) Measured inversion capacitance C inv plotted versus gate voltage for different top silicon thicknesses. The bottom curve (hollow squares) shows a measurement without applying a back gate voltage, and hence includes the BOX capacitance in the measurements. The filled symbols represent measurements where a back gate voltage was applied to deplete the BOX-silicon interface, which is necessary to extract t Si. (b) Calculated body thickness from C inv plotted versus measured body thickness by ellipsometry. The offset is caused by the gate oxidation process. 4.3 nm. For very thin silicon films below 4.3 nm, the capacitance modulation with V G decreases as the oxide capacitance becomes dominant and the CV method fails (not shown). Hence, HRTEM analysis has been used for thickness extraction of films thinner than 4.3 nm. A cross sectional TEM image of a 0.9 nm recessedgate channel, equivalent to four atomic layers (AL), is shown in Fig. 3. Please note that thickness fluctuations of the SOI films in our work have been carefully weighed when interpreting the data. When taking TEM images for the 0.9 nm film, we scanned across almost the entire width of the device. We found thickness fluctuations to be of island type, several 100 nm apart, and only several nanometers in diameter. The thickest island found was 1.7 nm. Considering the symmetry of the silicon crystal the same film characteristics are expected perpendicular to the TEM cross section i.e. along the transport direction. The majority of the film can therefore be regarded as 0.9 nm thin, which dominates the electrical transport. We further conclude from measured gate to channel capacitances (C GC ), that even the thinnest films investigated were indeed continuous. The values obtained from thin films match those of thicker films, with oxide thickness values matching TEM images. If the SOI films were discontinued, we would expect to measure a lower C GC because of a reduced device area (i.e. the discontinuous transistor channels). Finally, our data for threshold voltages, drain currents and effective mobilities is consistent (see A gate to channel capacitance (C GC ) measurement is required for extracting the inversion charge Q inv when determining carrier mobility by the split-cv method (Eq. (2), [7,11,12]). Thus, CV measurements have been performed using the gate and shorted source and drain contacts with frequencies between 1 and 1 MHz for all three available transistor types, reference, UTB-SOI and recessedgate UTB-SOI. Fig. 4a shows the measured gate to channel capacitance C GC vs. gate voltage V G for an UTB-SOI transistor with 4.3 nm silicon thickness. The plot clearly shows a severe drop in inversion capacitance for high frequencies, which can be attributed to the large access and channel resistance in the UTB-SOI device. This frequency dispersion effect is similar to the well known effect in conventional MOS capacitors [12,18]. Fig. 4b compares the inversion capacitance of three different devices at a gate voltage of V G = 3 V. The dispersion effect is evident in the clear drop of C GC for high frequencies. It is least severe for the reference device with its moderate access and channel resistance. Furthermore, the effect is weaker for the 4.3 nm recessed-gate device when compared to the 4.3 nm UTB-SOI device, even though they are equal in channel thickness. The difference can therefore be attributed to the lower access resistance in the recessed-gate UTB-SOI MOSFET. Since according to Eq. (1) the mobility will be overestimated when the measured inversion charge is too small due to frequency dispersion, the measurement frequency must be carefully chosen. In the example in Fig. 4b, a suitable frequency would have to be smaller than 50 khz. The capacitance equivalent oxide thickness extracted from C GC in strong inversion at low frequencies (V G = 3 V) was CET = 8.4 nm for the reference and UTB- SOI MOSFETs and CET = 8.8 nm for the recessed-gate UTB-SOI MOSFETs. This is in good agreement with ellipsometry data taken after thermal dry oxidation and confirms the accuracy of the method at low frequencies Mobility extraction The second crucial part for calculating carrier mobility next to correct C GC extraction is the accurate knowledge of the intrinsic conductance I DS /V DS. While the drain current can be measured straight forward, the effective channel voltage drop V DS_int (Fig. 1a) needs special attention in UTB-SOI devices. High access resistances lead to an overestimation of the voltage drop and - in line with Eq. (1) to an underestimation of the mobility. The electron mobilities of a UTB-SOI device with t Si = 4.3 nm extracted

5 M. Schmidt et al. / Solid-State Electronics 53 (2009) Fig. 4. (a) Gate to channel capacitance C GC plotted versus gate voltage V G of a 4.3 nm UTB-SOI MOSFET as defined in Fig. 1b for different measurement frequencies. (b) Comparison of gate to channel capacitance versus measurement frequency (at V G = 3 V) of a reference MOSFET (red squares), a 4.3 nm recessed-gate UTB-SOI MOSFET (blue dots) and a 4.3 nm UTB-SOI MOSFET (black triangles). (For interpretation of the references to colour in this figure legend, the reader is referred to the web version of this article.) by 2- and 4-point probe measurements are compared in Fig. 5a. The 2-point measurements (solid symbols) include access resistances and lead to significantly lower mobility values compared to 4-point measurements (open symbols), where the access resistance is eliminated. In addition, Fig. 5a shows mobility extraction errors due to frequency dispersion by plotting both the values for f = 5 khz and f = 100 khz (squares and circles). The resultant underestimation of N inv and hence overestimation of mobility is larger at low E eff, where the channel resistance is largest. The error in mobility caused by access resistance using the 2-point technique, on the other hand, is highest for high E eff. It is nonetheless significant for the peak mobility at low fields, namely 37% for the sample investigated at low frequency (5 khz). We conclude that the 2-point measurement is largely insufficient to obtain the correct mobility for UTB-SOI devices even for very long gate lengths (100 lm) as those used in this work. In addition, the frequency for the split-cv measurement has to be chosen carefully to avoid frequency dispersion. Fig. 5b shows the effective mobility plotted against E eff for a reference MOSFET, an UTB-SOI and a recessed-gate UTB-SOI device (both with t si = 4.3 nm). In this case, all values have been extracted with the 4-point technique and the CV measurements have been carried out at 5 khz, i.e. sufficiently low to suppress dispersion effect (compare Fig. 4b). The reference device shows typical values for silicon MOSFETs and hence the high quality of the fabrication Fig. 5. (a) Electron mobility versus effective vertical electric field E eff measured by 2-point probe (filled symbols) and by 4-point probe techniques (open symbols). In addition, two different CV measurement frequencies are plotted: 5 khz (squares) and 100 khz (circles). (b) Electron mobility plotted versus E eff for a reference MOSFET (red squares), a 4.3 nm recessed UTB-SOI MOSFET (blue dots) and a 4.3 nm UTB-SOI MOSFET (black triangles). (For interpretation of the references to colour in this figure legend, the reader is referred to the web version of this article.) process. Furthermore, the difference in mobility between the 4.3 nm UTB-SOI and the 4.3 nm recessed-gate UTB-SOI MOSFETs is negligible, which demonstrates that the 4-point technique compensates completely for the influence of high access resistance. The peak mobility of the 4.3 nm UTB-SOI and recessed-gate UTB-SOI MOSFETs is l peak = 450 cm 2 /Vs, in agreement with published data for similar t si [19,20] Fundamental limits of (100) UTB n-mosfets To explore experimentally the ultimate thickness limits of SOI transistors, a series of recessed-gate SOI n-mosfets with body thicknesses down to 0.9 nm has been fabricated and characterized. The measured output and transfer characteristics show fully functional devices with an excellent sub-threshold swing of 70 mv/dec and an I On /I Off ratio of more than six orders of magnitude at 0.9 nm silicon thickness (Fig. 6a and b). The mobility extraction method introduced above, including 4- point probe geometry in combination with a moderate measurement frequency of 5 khz, has been used to evaluate the effective mobility l eff of a number of recessed-gate devices. Mobility data for recessed-gate SOI n-mosfets with silicon body thicknesses ranging from 30 nm down to 0.9 nm is compared to the reference SOI device in Fig. 7. For silicon thicknesses ranging down to 3.6 nm mobility values on the order of several 100 cm 2 /Vs are obtained. Note that mobility values for different transistor widths W coincide

6 1250 M. Schmidt et al. / Solid-State Electronics 53 (2009) Fig. 8. Measured MOSFET threshold voltages V th plotted versus the silicon channel thickness of the transistors showing a severe increase in threshold voltage as the silicon thickness is reduced below 5 nm (open circles). The measured values are compared to a quantum mechanical model (black triangles) described in detail in [22]. Fig. 6. (a) Output characteristics (drain current I DS versus source drain voltage V DS ) and (b) transfer characteristics (drain current I DS versus gate voltage V G ) of a 0.9 nm thick recessed-gate UTB-SOI n-mosfet. for each thickness demonstrating that lateral geometrical variations do not influence the extraction procedure. For recessed-gate UTB-SOI devices with a silicon thickness down to 10.5 nm, the maximum mobility is reached at low inversion channel densities. Devices with silicon body thickness between 4.3 nm and 3.6 nm have their peak mobility at slightly higher N Inv. Furthermore, their mobility is reduced for low inversion charge densities, but reaches values similar to thicker devices for high charge densities. As Coulomb scattering has been reported to reduce carrier mobility [13], we attribute this decrease in the low inversion charge regime to the vicinity of the back interface in these ultrathin SOI devices (compare [20] for t Si = 5 nm devices). Even thinner body thicknesses results in a significant mobility reduction over the whole range of N Inv. A reduction on the order of a decade is observed for thicknesses decreasing from 2 nm down to 0.9 nm, i.e. from 7 to 4 atomic layers. The small l eff dependence on N inv suggests a negligible impact of coulombic centers/interface states in the mobility degradation. Moreover, the l eff reduction follows a t 6 si dependence, which is typical for mobility limitation by t si fluctuation [20]. We therefore attribute it on thickness variations/ surface roughness, which might have been enhanced during the thinning process. In addition, enhanced phonon scattering due to quantization effects has been predicted for layers below 2 nm thickness [14] and is likely to contribute. The observed mobilities on the order of several 10 cm 2 /Vs in silicon films of a few atomic layers confirm the data previously reported by Uchida et al. [20]. It has been reported in literature that carrier confinement not only affects the mobility severely, but it also raises the threshold voltage V th rapidly as the silicon channel gets very thin [21]. This drastic increase of the measured threshold voltage is shown in Fig. 8 (open circles). The divergence is comparable to that observed in [20]. After taking the experimental data, numerical simulations were performed with a Schroedinger Poisson solver described in [22] by assuming a quantization effective mass independent of the t Si value [23]. Furthermore, wave-function penetration into the dielectric was neglected, which is a reasonable approximation for fairly large oxide thicknesses such as used in this experiment. The results of the numerical simulations (black triangles) are consistent with the experimental data. 4. Conclusions Fig. 7. Electron mobility plotted versus inversion carrier density N inv for body thicknesses from t Si = 50 nm down to 0.9 nm (universal mobility after Takagi [13] included for reference). For t si below 3 nm, electron mobility strongly decreases. In this paper, a suitable procedure for the extraction of effective mobility in ultra thin body SOI transistors has been suggested. The

7 M. Schmidt et al. / Solid-State Electronics 53 (2009) influence of access resistances inherent in such thin silicon film thicknesses has been investigated by comparing conventional thick SOI MOSFETs to both UTB-SOI and recessed-gate UTB-SOI MOS- FETs. Standard split-cv measurements using a 2-point probe technique and typical CV frequencies of 100 khz have been shown to be affected severely by high access resistances. In UTB devices, this standard measurement results in erroneous estimation of both the effective source drain voltage and the inversion charge density, and in turn to unreliable mobility data. While deviations in the effective source drain voltage lead to errors at high effective fields, the error caused by frequency dispersion in CV measurements is more pronounced at low effective fields. We have implemented a 4-point probe test structure and combined it with low frequency CV measurements to circumvent the demonstrated difficulties. The measurements have been carefully calibrated by comparing data with conventional SOI transistors with a silicon thickness of 50 nm. In combination with a recessed-gate technology, we have demonstrated mobility extraction for devices with a silicon thickness of less than 1 nm. Finally, a drastic increase in threshold voltage is shown for body thicknesses below 3 nm due to charge quantization effects. This is accompanied by a severe decrease in mobility. This experimentally observed interdependency requires further theoretical consideration to explore the ultimate limit of SOI MOSFETs in general, as the substantial experimental data presented here indicates that the charge transport in UTB-SOI n-mosfets is heavily affected by quantum phenomena and thickness variations for thicknesses below 3 nm. Acknowledgements The authors thank M. Bückins, T.E. Weirich, F. Dorn and J. Mayer of the Central Facility for Electron Microscopy (GFE), RWTH Aachen, for TEM images. This work has been partially supported in the frame of the European Network of Excellence Nanosil (IST ). M.C. Lemme gratefully acknowledges the support of the Alexander von Humboldt foundation through a Feodor Lynen Research Fellowship. References [1] Liu Y et al. A highly threshold voltage-controllable 4T FinFET with an 8.5-nmthick Si-Fin channel. IEEE Electron Dev Lett 2004;25(7): [2] Lindert N et al. Sub-60-nm quasi-planar FinFETs fabricated using a simplified process. IEEE Electron Dev Lett 2001;22(10): [3] Lemme MC et al. Subthreshold behavior of triple-gate MOSFETs on SOI material. Solid-State Electron 2004;48: [4] Doris B, et al. Extreme Scaling with Ultra-Thin Si Channel MOSFETs, In: IEDM Tech Dig; p [5] Choi Y-K, Asano K, Lindert N, Subramanian V, King T-J, Bokor J, et al. Ultrathinbody SOI MOSFET for deep-sub-tenth micron era. IEEE Electron Dev Lett 2000;21(5). [6] Chau R et al. A 50 nm depleted-substrate CMOS transistor (DST). IEEE IEDM 2001 Tech Dig 2001: [7] Schmidt M et al. Nickel silicide process for UTB SOI MOSFETs. Microelectron Eng 2005;82(3 4): [8] Chow P-MD, Wang K-L. A new AC technique for accurate determination of channel charge and mobility in very thin gate MOSFET s. IEEE Trans Electron Dev 1986;33(9). [9] Esseni D et al. Low field electron and hole mobility of SOI transistors fabricated on ultrathin silicon films for deep submicrometer technology application. IEEE Trans Electron Dev 2001;48(12): [10] Schmidt M, et al. Charge pumping and mobility measurements on strained SOI MOSFETs. In: Proceedings of the 6th conference on ultimate integration on silicon (ULIS 2007); p [11] Driussi F, et al. Fabrication, characterization and modeling of strained SOI MOSFETs with very large effective mobility. In: 37th European solid state device research conference (ESSDERC 2007); p [12] Schroder DK. Semiconductor material and device characterization. 3rd ed. Wiley-IEEE Press; [13] Takagi S-I, Toriumi A, Iwase M, Tango H. On the universality of inversion layer mobility in Si MOSFETs: part I: effects of substrate impurity concentration. IEEE Trans Electron Dev 1994;41(12): [14] TakagiS.-I, Koga J, Toriumi A. Subband structure engineering for performance enhancement of si MOSFETs. Electron devices meeting IEDM; p [15] Wahlbrink T et al. Highly selective etch process for silicon-on insulator nanodevices. Microelectron Eng 2005;78 79: [16] Lemme MC et al. Highly selective HBr etch process for fabrication of triple-gate nano-scale SOI-MOSFETs. Microelectron Eng 2004;73 74: [17] Chen J et al. A CV technique for measuring thin film thickness. IEEE Electron Dev Lett 1991;12(8): [18] Kwa KSK et al. A model for capacitance reconstruction from measured lossy MOS capacitance voltage characteristics. Semicond Sci Technol 2003;18:82 7. [19] Shimizu K, Tsutsui G, Hiramoto T. Experimental study on mobility universality in (100) ultrathin body nmosfets with SOI thickness of 5 nm. Jpn J Appl Phys 2007;46(20):L [20] Uchida K, Koga J, Takagi S.-I. Experimetal study on carrier transport mechanismus in double- and single-gate ultrathin body MOSFETs. In: IEEE IEDM Tech Dig; p [21] Tsutsui G, Saitoh M, Nagumo T, Hiramoto T. Impact of SOI thickness fluctuation on threshold voltage variation in ultra-thin body SOI MOSFETs. IEEE Transactions Electron Dev 2005;4(3): [22] Driussi F et al. Investigation of the energy distribution of stress-induced oxide traps by numerical analysis of the TAT of HEs. IEEE Trans Electron Dev 2004;51(10): [23] van der Steen J-LPJ et al. Validity of the Parabolic Effective Mass Approximation in Silicon and Germanium n-mosfets with different Crystal Orientations. IEEE Trans Electron Dev 2007;54(8):

Characterization of SOI MOSFETs by means of charge-pumping

Characterization of SOI MOSFETs by means of charge-pumping Paper Characterization of SOI MOSFETs by means of charge-pumping Grzegorz Głuszko, Sławomir Szostak, Heinrich Gottlob, Max Lemme, and Lidia Łukasiak Abstract This paper presents the results of charge-pumping

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5 Eigen # Gate Gate Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET Lecture 5 Thin-Body MOSFET Carrier Transport quantum confinement effects low-field mobility: Orientation and Si Thickness

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS Tsu-Jae King, Yang-Kyu Choi, Pushkar Ranade^ and Leland Chang Electrical Engineering and Computer Sciences Dept., ^Materials Science and Engineering

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation Australian Journal of Basic and Applied Sciences, 2(3): 406-411, 2008 ISSN 1991-8178 Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation 1 2 3 R. Muanghlua, N. Vittayakorn and A.

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs

Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs 1838 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 47, NO. 10, OCTOBER 2000 Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs

More information

Reliability of deep submicron MOSFETs

Reliability of deep submicron MOSFETs Invited paper Reliability of deep submicron MOSFETs Francis Balestra Abstract In this work, a review of the reliability of n- and p-channel Si and SOI MOSFETs as a function of gate length and temperature

More information

CHAPTER 3 TWO DIMENSIONAL ANALYTICAL MODELING FOR THRESHOLD VOLTAGE

CHAPTER 3 TWO DIMENSIONAL ANALYTICAL MODELING FOR THRESHOLD VOLTAGE 49 CHAPTER 3 TWO DIMENSIONAL ANALYTICAL MODELING FOR THRESHOLD VOLTAGE 3.1 INTRODUCTION A qualitative notion of threshold voltage V th is the gate-source voltage at which an inversion channel forms, which

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

ISSN: [Soni* et al., 6(4): April, 2017] Impact Factor: 4.116

ISSN: [Soni* et al., 6(4): April, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY A COMPARITIVELY ANALISIS OF VARIOUS CMOS FINFET STRUCTURE Ragini Soni*, Mrs. Jyotsna Sagar * M.Tech Student (VLSI ) Asst. Professor,

More information

IMPROVED CURRENT MIRROR OUTPUT PERFORMANCE BY USING GRADED-CHANNEL SOI NMOSFETS

IMPROVED CURRENT MIRROR OUTPUT PERFORMANCE BY USING GRADED-CHANNEL SOI NMOSFETS IMPROVED CURRENT MIRROR OUTPUT PERFORMANCE BY USING GRADED-CHANNEL SOI NMOSFETS Marcelo Antonio Pavanello *, João Antonio Martino and Denis Flandre 1 Laboratório de Sistemas Integráveis Escola Politécnica

More information

SCALING AND NUMERICAL SIMULATION ANALYSIS OF 50nm MOSFET INCORPORATING DIELECTRIC POCKET (DP-MOSFET)

SCALING AND NUMERICAL SIMULATION ANALYSIS OF 50nm MOSFET INCORPORATING DIELECTRIC POCKET (DP-MOSFET) SCALING AND NUMERICAL SIMULATION ANALYSIS OF 50nm MOSFET INCORPORATING DIELECTRIC POCKET (DP-MOSFET) Zul Atfyi Fauzan M. N., Ismail Saad and Razali Ismail Faculty of Electrical Engineering, Universiti

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 9 MOSFETs Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Direct calculation of metal oxide semiconductor field effect transistor high frequency noise parameters

Direct calculation of metal oxide semiconductor field effect transistor high frequency noise parameters Direct calculation of metal oxide semiconductor field effect transistor high frequency noise parameters C. H. Chen and M. J. Deen a) Engineering Science, Simon Fraser University, Burnaby, British Columbia

More information

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 53-60 International Research Publication House http://www.irphouse.com Design and Analysis of Double Gate

More information

4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions

4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions ELECTRONICS 4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions Yu SAITOH*, Toru HIYOSHI, Keiji WADA, Takeyoshi MASUDA, Takashi TSUNO and Yasuki MIKAMURA ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

More information

MOSFET & IC Basics - GATE Problems (Part - I)

MOSFET & IC Basics - GATE Problems (Part - I) MOSFET & IC Basics - GATE Problems (Part - I) 1. Channel current is reduced on application of a more positive voltage to the GATE of the depletion mode n channel MOSFET. (True/False) [GATE 1994: 1 Mark]

More information

Floating Body and Hot Carrier Effects in Ultra-Thin Film SOI MOSFETs

Floating Body and Hot Carrier Effects in Ultra-Thin Film SOI MOSFETs Floating Body and Hot Carrier Effects in Ultra-Thin Film SOI MOSFETs S.-H. Renn, C. Raynaud, F. Balestra To cite this version: S.-H. Renn, C. Raynaud, F. Balestra. Floating Body and Hot Carrier Effects

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

PHYSICS OF SEMICONDUCTOR DEVICES

PHYSICS OF SEMICONDUCTOR DEVICES PHYSICS OF SEMICONDUCTOR DEVICES PHYSICS OF SEMICONDUCTOR DEVICES by J. P. Colinge Department of Electrical and Computer Engineering University of California, Davis C. A. Colinge Department of Electrical

More information

2014, IJARCSSE All Rights Reserved Page 1352

2014, IJARCSSE All Rights Reserved Page 1352 Volume 4, Issue 3, March 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Double Gate N-MOSFET

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Metal-Semiconductor and Semiconductor Heterojunctions The Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET) is one of two major types of transistors. The MOSFET is used in digital circuit, because

More information

Variation Analysis of CMOS Technologies Using Surface-Potential MOSFET Model

Variation Analysis of CMOS Technologies Using Surface-Potential MOSFET Model Invited paper Variation Analysis of CMOS Technologies Using Surface-Potential MOSFET Model Hans Jürgen Mattausch, Akihiro Yumisaki, Norio Sadachika, Akihiro Kaya, Koh Johguchi, Tetsushi Koide, and Mitiko

More information

Performance Evaluation of MISISFET- TCAD Simulation

Performance Evaluation of MISISFET- TCAD Simulation Performance Evaluation of MISISFET- TCAD Simulation Tarun Chaudhary Gargi Khanna Rajeevan Chandel ABSTRACT A novel device n-misisfet with a dielectric stack instead of the single insulator of n-mosfet

More information

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP) Science in China Series E: Technological Sciences 2009 SCIENCE IN CHINA PRESS www.scichina.com tech.scichina.com Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN Performance Evaluation and Comparison of Ultra-thin Bulk (UTB), Partially Depleted and Fully Depleted SOI MOSFET using Silvaco TCAD Tool Seema Verma1, Pooja Srivastava2, Juhi Dave3, Mukta Jain4, Priya

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET 110 6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET An experimental study has been conducted on the design of fully depleted accumulation mode SOI (SIMOX) MOSFET with regard to hot carrier

More information

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801 Comparative study of self-aligned and nonself-aligned SiGe p-metal oxide semiconductor modulation-doped field effect transistors with nanometer gate lengths Wu Lu Department of Electrical and Computer

More information

PERFORMANCE EVALUATION OF FD-SOI MOSFETS FOR DIFFERENT METAL GATE WORK FUNCTION

PERFORMANCE EVALUATION OF FD-SOI MOSFETS FOR DIFFERENT METAL GATE WORK FUNCTION PERFORMANCE EVALUATION OF FD-SOI MOSFETS FOR DIFFERENT METAL GATE WORK FUNCTION Deepesh Ranka 1, Ashwani K. Rana 2, Rakesh Kumar Yadav 3, Kamalesh Yadav 4, Devendra Giri 5 # Department of Electronics and

More information

ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 7 MOSFETs Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s16/ecse

More information

Supporting Information

Supporting Information Supporting Information Fabrication and Transfer of Flexible Few-Layers MoS 2 Thin Film Transistors to any arbitrary substrate Giovanni A. Salvatore 1, *, Niko Münzenrieder 1, Clément Barraud 2, Luisa Petti

More information

ECE 440 Lecture 39 : MOSFET-II

ECE 440 Lecture 39 : MOSFET-II ECE 440 Lecture 39 : MOSFETII Class Outline: MOSFET Qualitative Effective Mobility MOSFET Quantitative Things you should know when you leave Key Questions How does a MOSFET work? Why does the channel mobility

More information

Open Access. C.H. Ho 1, F.T. Chien 2, C.N. Liao 1 and Y.T. Tsai*,1

Open Access. C.H. Ho 1, F.T. Chien 2, C.N. Liao 1 and Y.T. Tsai*,1 56 The Open Electrical and Electronic Engineering Journal, 2008, 2, 56-61 Open Access Optimum Design for Eliminating Back Gate Bias Effect of Silicon-oninsulator Lateral Double Diffused Metal-oxide-semiconductor

More information

Charge-Based Continuous Equations for the Transconductance and Output Conductance of Graded-Channel SOI MOSFET s

Charge-Based Continuous Equations for the Transconductance and Output Conductance of Graded-Channel SOI MOSFET s Charge-Based Continuous Equations for the Transconductance and Output Conductance of Graded-Channel SOI MOSFET s Michelly de Souza 1 and Marcelo Antonio Pavanello 1,2 1 Laboratório de Sistemas Integráveis,

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 Dummy Gate-Assisted n-mosfet Layout for a Radiation-Tolerant Integrated Circuit Min Su Lee and Hee Chul Lee Abstract A dummy gate-assisted

More information

Drain. Drain. [Intel: bulk-si MOSFETs]

Drain. Drain. [Intel: bulk-si MOSFETs] 1 Introduction For more than 40 years, the evolution and growth of very-large-scale integration (VLSI) silicon-based integrated circuits (ICs) have followed from the continual shrinking, or scaling, of

More information

Fabrication and Characterization of Pseudo-MOSFETs

Fabrication and Characterization of Pseudo-MOSFETs Fabrication and Characterization of Pseudo-MOSFETs March 19, 2014 Contents 1 Introduction 2 2 The pseudo-mosfet 3 3 Device Fabrication 5 4 Electrical Measurement and Characterization 7 5 Writing your Report

More information

Effect of Channel Doping Concentration on the Impact ionization of n- Channel Fully Depleted SOI MOSFET

Effect of Channel Doping Concentration on the Impact ionization of n- Channel Fully Depleted SOI MOSFET International Journal of Engineering Works Kambohwell Publisher Enterprises Vol. 2, Issue 2, PP. 18-22, Feb. 2015 www.kwpublisher.com Effect of Channel Doping Concentration on the Impact ionization of

More information

Future MOSFET Devices using high-k (TiO 2 ) dielectric

Future MOSFET Devices using high-k (TiO 2 ) dielectric Future MOSFET Devices using high-k (TiO 2 ) dielectric Prerna Guru Jambheshwar University, G.J.U.S. & T., Hisar, Haryana, India, prernaa.29@gmail.com Abstract: In this paper, an 80nm NMOS with high-k (TiO

More information

improving further the mobility, and therefore the channel conductivity. The positive pattern definition proposed by Hirayama [6] was much improved in

improving further the mobility, and therefore the channel conductivity. The positive pattern definition proposed by Hirayama [6] was much improved in The two-dimensional systems embedded in modulation-doped heterostructures are a very interesting and actual research field. The FIB implantation technique can be successfully used to fabricate using these

More information

In this lecture we will begin a new topic namely the Metal-Oxide-Semiconductor Field Effect Transistor.

In this lecture we will begin a new topic namely the Metal-Oxide-Semiconductor Field Effect Transistor. Solid State Devices Dr. S. Karmalkar Department of Electronics and Communication Engineering Indian Institute of Technology, Madras Lecture - 38 MOS Field Effect Transistor In this lecture we will begin

More information

Analog Performance of Scaled Bulk and SOI MOSFETs

Analog Performance of Scaled Bulk and SOI MOSFETs Analog Performance of Scaled and SOI MOSFETs Sushant S. Suryagandh, Mayank Garg, M. Gupta, Jason C.S. Woo Department. of Electrical Engineering University of California, Los Angeles CA 99, USA. woo@icsl.ucla.edu

More information

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen

Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen Silicon on Insulator (SOI) Spring 2018 EE 532 Tao Chen What is Silicon on Insulator (SOI)? SOI silicon on insulator, refers to placing a thin layer of silicon on top of an insulator such as SiO2. The devices

More information

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS ABSTRACT J.Shailaja 1, Y.Priya 2 1 ECE Department, Sphoorthy Engineering College (India) 2 ECE,Sphoorthy Engineering College, (India) The

More information

Low-Frequency Noise in High-k LaLuO 3 /TiN MOSFETs

Low-Frequency Noise in High-k LaLuO 3 /TiN MOSFETs Low-Frequency Noise in High-k LaLuO 3 /TiN MOSFETs Maryam Olyaei, B. Gunnar Malm, Per-Erik Hellström, and Mikael Östling KTH Royal Institute of Technology, Integrated Devices and Circuits, School of Information

More information

Modeling & Analysis of Surface Potential and Threshold Voltage for Narrow channel 3D FDSOI MOSFET

Modeling & Analysis of Surface Potential and Threshold Voltage for Narrow channel 3D FDSOI MOSFET Modeling & Analysis of Surface Potential and Threshold Voltage for Narrow channel 3D... 273 IJCTA, 9(22), 2016, pp. 273-278 International Science Press Modeling & Analysis of Surface Potential and Threshold

More information

Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch

Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch Tunnel FET architectures and device concepts for steep slope switches Joachim Knoch Institute of Semiconductor Electronics RWTH Aachen University Sommerfeldstraße 24 52074 Aachen Outline MOSFETs Operational

More information

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors Supplementary Information Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors J. A. Caraveo-Frescas and H. N. Alshareef* Materials Science and Engineering, King

More information

A novel GAAC FinFET transistor: device analysis, 3D TCAD simulation, and fabrication

A novel GAAC FinFET transistor: device analysis, 3D TCAD simulation, and fabrication Vol.30, No.1 Journal of Semiconductors January 2009 A novel GAAC FinFET transistor: device analysis, 3D TCAD simulation, and fabrication Xiao Deyuan( 肖德元 ) 1,2,, Wang Xi( 王曦 ) 1, Yuan Haijiang( 袁海江 ) 3,

More information

Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics

Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics Sweta Chander 1, Pragati Singh 2, S Baishya 3 1,2,3 Department of Electronics & Communication Engineering,

More information

Investigating the Electronic Behavior of Nano-materials From Charge Transport Properties to System Response

Investigating the Electronic Behavior of Nano-materials From Charge Transport Properties to System Response Investigating the Electronic Behavior of Nano-materials From Charge Transport Properties to System Response Amit Verma Assistant Professor Department of Electrical Engineering & Computer Science Texas

More information

DURING the past decade, CMOS technology has seen

DURING the past decade, CMOS technology has seen IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 51, NO. 9, SEPTEMBER 2004 1463 Investigation of the Novel Attributes of a Fully Depleted Dual-Material Gate SOI MOSFET Anurag Chaudhry and M. Jagadesh Kumar,

More information

Power MOSFET Zheng Yang (ERF 3017,

Power MOSFET Zheng Yang (ERF 3017, ECE442 Power Semiconductor Devices and Integrated Circuits Power MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Evolution of low-voltage (

More information

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors Contemporary Engineering Sciences, Vol. 6, 2013, no. 6, 273-284 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2013.3632 Study of Pattern Area of Logic Circuit with Tunneling Field-Effect

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

Scaling of InGaAs MOSFETs into deep-submicron regime (invited)

Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Scaling of InGaAs MOSFETs into deep-submicron regime (invited) Y.Q. Wu, J.J. Gu, and P.D. Ye * School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47906 * Tel: 765-494-7611,

More information

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction

Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform Oxide Thicknesses for Sub-Threshold Leakage Current Reduction 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore Characterization of Variable Gate Oxide Thickness MOSFET with Non-Uniform

More information

INTRODUCTION: Basic operating principle of a MOSFET:

INTRODUCTION: Basic operating principle of a MOSFET: INTRODUCTION: Along with the Junction Field Effect Transistor (JFET), there is another type of Field Effect Transistor available whose Gate input is electrically insulated from the main current carrying

More information

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness MIT International Journal of Electronics and Communication Engineering, Vol. 4, No. 2, August 2014, pp. 81 85 81 Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness Alpana

More information

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2

Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS2/h- BN/graphene heterostructures. a, c d Supplementary Figure 2 Supplementary Figure 1 Schematic illustration of fabrication procedure of MoS 2 /hon a 300- BN/graphene heterostructures. a, CVD-grown b, Graphene was patterned into graphene strips by oxygen monolayer

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

Design & Simulation of Multi Gate Piezoelectric FET Devices for Sensing Applications

Design & Simulation of Multi Gate Piezoelectric FET Devices for Sensing Applications Design & Simulation of Multi Gate Piezoelectric FET Devices for Sensing Applications Sunita Malik 1, Manoj Kumar Duhan 2 Electronics & Communication Engineering Department, Deenbandhu Chhotu Ram University

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:10.1038/nature11293 1. Formation of (111)B polar surface on Si(111) for selective-area growth of InGaAs nanowires on Si. Conventional III-V nanowires (NWs) tend to grow in

More information

Active Pixel Sensors Fabricated in a Standard 0.18 um CMOS Technology

Active Pixel Sensors Fabricated in a Standard 0.18 um CMOS Technology Active Pixel Sensors Fabricated in a Standard.18 um CMOS Technology Hui Tian, Xinqiao Liu, SukHwan Lim, Stuart Kleinfelder, and Abbas El Gamal Information Systems Laboratory, Stanford University Stanford,

More information

value of W max for the device. The at band voltage is -0.9 V. Problem 5: An Al-gate n-channel MOS capacitor has a doping of N a = cm ;3. The oxi

value of W max for the device. The at band voltage is -0.9 V. Problem 5: An Al-gate n-channel MOS capacitor has a doping of N a = cm ;3. The oxi Prof. Jasprit Singh Fall 2001 EECS 320 Homework 10 This homework is due on December 6 Problem 1: An n-type In 0:53 Ga 0:47 As epitaxial layer doped at 10 16 cm ;3 is to be used as a channel in a FET. A

More information

Organic Electronics. Information: Information: 0331a/ 0442/

Organic Electronics. Information: Information:  0331a/ 0442/ Organic Electronics (Course Number 300442 ) Spring 2006 Organic Field Effect Transistors Instructor: Dr. Dietmar Knipp Information: Information: http://www.faculty.iubremen.de/course/c30 http://www.faculty.iubremen.de/course/c30

More information

Two Dimensional Analytical Threshold Voltages Modeling for Short-Channel MOSFET

Two Dimensional Analytical Threshold Voltages Modeling for Short-Channel MOSFET Two Dimensional Analytical Threshold Voltages Modeling for Short-Channel MOSFET Sanjeev kumar Singh, Vishal Moyal Electronics & Telecommunication, SSTC-SSGI, Bhilai, Chhatisgarh, India Abstract- The aim

More information

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches University of Pennsylvania From the SelectedWorks of Nipun Sinha 29 Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches Nipun Sinha, University of Pennsylvania Timothy S.

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator

Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Sub-30 nm InAs Quantum-Well MOSFETs with Self-Aligned Metal Contacts and Sub-1 nm EOT HfO 2 Insulator Jianqiang Lin, Dimitri A. Antoniadis, and Jesús A. del Alamo Microsystems Technology Laboratories,

More information

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 5 November 2015 ISSN (online): 2349-784X Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

Semiconductor TCAD Tools

Semiconductor TCAD Tools Device Design Consideration for Nanoscale MOSFET Using Semiconductor TCAD Tools Teoh Chin Hong and Razali Ismail Department of Microelectronics and Computer Engineering, Universiti Teknologi Malaysia,

More information

Substrate Bias Effects on Drain Induced Barrier Lowering (DIBL) in Short Channel NMOS FETs

Substrate Bias Effects on Drain Induced Barrier Lowering (DIBL) in Short Channel NMOS FETs Australian Journal of Basic and Applied Sciences, 3(3): 1640-1644, 2009 ISSN 1991-8178 Substrate Bias Effects on Drain Induced Barrier Lowering (DIBL) in Short Channel NMOS FETs 1 1 1 1 2 A. Ruangphanit,

More information

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate

4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate 22 Annual Report 2010 - Solid-State Electronics Department 4.1.2 InAs nanowire circuits fabricated by field-assisted selfassembly on a host substrate Student Scientist in collaboration with R. Richter

More information

CHAPTER 2 LITERATURE REVIEW

CHAPTER 2 LITERATURE REVIEW CHAPTER 2 LITERATURE REVIEW 2.1 Introduction of MOSFET The structure of the MOS field-effect transistor (MOSFET) has two regions of doping opposite that of the substrate, one at each edge of the MOS structure

More information

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Oleg Semenov, Andrzej Pradzynski * and Manoj Sachdev Dept. of Electrical and Computer Engineering,

More information

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors ANNOUNCEMENTS Final Exam: When: Wednesday 12/10 12:30-3:30PM Where: 10 Evans (last names beginning A-R) 60 Evans (last names beginning S-Z) Comprehensive coverage of course material Closed book; 3 sheets

More information

A Computational Study of Thin-Body, Double-Gate, Schottky Barrier MOSFETs

A Computational Study of Thin-Body, Double-Gate, Schottky Barrier MOSFETs IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 11, NOVEMBER 2002 1897 A Computational Study of Thin-Body, Double-Gate, Schottky Barrier MOSFETs Jing Guo and Mark S. Lundstrom, Fellow, IEEE Abstract

More information

MODELING AND SIMULATION OF ADVANCED FLOATING BODY Z-RAM MEMORY CELLS

MODELING AND SIMULATION OF ADVANCED FLOATING BODY Z-RAM MEMORY CELLS MODELING AND SIMULATION OF ADVANCED FLOATING BODY Z-RAM MEMORY CELLS Viktor Sverdlov and Siegfried Selberherr Institute for Microelectronics Technische Universität Wien Gusshausstrasse 27 29 1040 Vienna,

More information

EFFECT OF THRESHOLD VOLTAGE AND CHANNEL LENGTH ON DRAIN CURRENT OF SILICON N-MOSFET

EFFECT OF THRESHOLD VOLTAGE AND CHANNEL LENGTH ON DRAIN CURRENT OF SILICON N-MOSFET EFFECT OF THRESHOLD VOLTAGE AND CHANNEL LENGTH ON DRAIN CURRENT OF SILICON N-MOSFET A.S.M. Bakibillah Nazibur Rahman Dept. of Electrical & Electronic Engineering, American International University Bangladesh

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Dopant profiling and surface analysis of silicon nanowires using capacitance-voltage measurements Erik C. Garnett 1, Yu-Chih Tseng 4, Devesh Khanal 2,3, Junqiao Wu 2,3, Jeffrey

More information

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 831 A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design Gerhard Knoblinger, Member, IEEE,

More information

X-ray Radiation Hardness of Fully-Depleted SOI MOSFETs and Its Improvement

X-ray Radiation Hardness of Fully-Depleted SOI MOSFETs and Its Improvement June 4, 2015 X-ray Radiation Hardness of Fully-Depleted SOI MOSFETs and Its Improvement Ikuo Kurachi 1, Kazuo Kobayashi 2, Hiroki Kasai 3, Marie Mochizuki 4, Masao Okihara 4, Takaki Hatsui 2, Kazuhiko

More information

Supporting Information

Supporting Information Copyright WILEY VCH Verlag GmbH & Co. KGaA, 69469 Weinheim, Germany, 2011. Supporting Information for Small, DOI: 10.1002/smll.201101677 Contact Resistance and Megahertz Operation of Aggressively Scaled

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information