Toward Large-Scale Access-Transistor-Free Memristive Crossbars

Size: px
Start display at page:

Download "Toward Large-Scale Access-Transistor-Free Memristive Crossbars"

Transcription

1 Toward Large-Scale Access-Transistor-Free Memristive Crossbars Amirali Ghofrani, Miguel Angel Lastras-Montaño and Kwang-Ting (Tim) Cheng Department of Electrical and Computer Engineering, University of California, Santa Barbara {ghofrani, mlastras, Abstract Memristive crossbars have been shown to be excellent candidates for building an ultra-dense memory system because a per-cell access-transistor may no longer be necessary. However, the elimination of the access-transistor introduces several parasitic effects due to the existence of partially-selected devices during memory accesses, which could limit the scalability of access-transistor-free (ATF) memristive crossbars. In this paper we discuss these challenges in detail and describe some solutions addressing these challenges at multiple levels of design abstraction. I. INTRODUCTI CMOS-based memory technologies cannot keep up with the ever-increasing demand for denser and lower-power memories. As the memory cell size is mainly limited by the size of its access-transistor, CMOS technology scaling is reaching its limit due to the increased leakage current of these transistors and the yield drop induced by fabrication imprecision [1]. As an alternative, emerging resistive memory technologies such as Phase Change Memories (PCM) [2], Spin-Transfer Torque Magneto-resistive Memories (STT-MRAM) [3], and metal oxide valence change ReRAMs [4] have been investigated recently that offer ultra-small and low-power memory elements with fast switching speeds. Among them, metal oxide valence change ReRAMs, generally referred to as memristors [5], are especially promising as they offer the possibility of elimination of the access-transistor due to their unique electrical characteristics, while maintaining the same power/speed/endurance advantages [6]. A memristor is a two-terminal passive programmable resistor, the resistance of which is maintained in the absence of an electric field. This characteristic makes them an ideal candidate as a non-volatile memory. High/Low resistances can be used to represent logic value /1. Applying adequate voltage (or current) pulses can change the resistance of the device. The change to the resistance has a strong non-linear dependency on the amplitude and the duration of the applied pulse [7]. This non-linearity opens up opportunities to obviate the need for an access-transistor for each memory cell. Ultra-high density memory arrays can be realized with memristive devices as their feature size can be shrunk to a sub-1nm scale, due to the elimination of the access-transistor and the simple structure of the memristors [8]. Moreover, both analysis and preliminary experimental measurements have also demonstrated its potential for lower power consumption than existing technologies [9, 1, 11]. The main contributors to its power efficiency are the access-transistor-free (ATF) memory structure and the passiveness of the devices. These characteristics make memristive memories attractive as an extremely dense and low-power non-volatile memory [6]. Several nanoscale memristive crossbars have been successfully demonstrated recently [12, 13, 14]. The elimination of per-cell access-transistors also introduces several challenges. In an ATF memory array, selecting a target cell puts a partial bias on several other devices in the crossbar. Sneak/leakage currents could be introduced due to the existence of such partially-selected devices that may interfere with the operation of the sensing circuitry utilized during the read and write operations. This parasitic effect is data-dependent, as the amount of the sneak/leakage current depends on the datapattern stored in the ATF crossbar. Furthermore, in an ATF crossbar, the partial voltages applied to those partially-selected devices during the write operation might slightly affect the states of such devices. This effect, also known as write disturbance, could accumulate and result in corruption or complete inversion of the stored logic value. The sneak/leakage current and write disturbance issues become worse for a larger-scale ATF crossbar, as the number of partially-selected devices during read/write operations generally increases with the size of the memory. Moreover, the high cumulative leakage current in a large-scale ATF crossbar would result in high current requirements and high power consumption for the memory system. Such issues limit the scalability of ATF crossbars and thus must be addressed. In the rest of the paper, we describe the challenges of building a scalable access-transistor-free memristive crossbar in detail, and illustrate some solutions to address such challenges ranging from device-level fabrication schemes to higher-level architectural solutions. The rest of the paper is organized as follows: Section II provides some backgrounds of memristors. Section III discusses the challenges introduced by the elimination of the access-transistors and some solutions addressing these challenges. Section IV focuses on the scalability issues of ATF crossbars and methods for addressing them. Section V concludes the paper. II. BACKGROUND MEMRISTORS Memristors typically have a metal/insulator/metal (MIM) structure. The change in the resistance happens due to the nonvolatile formation of a conductive filament inside the insulating oxide layer. Such filament is formed by applying a voltage (or current) pulse across the device. The applied electric field mobilizes the conductive particles (e.g. oxygen vacancies, metal-

2 Pt Oxygen Vacancies Word-line-shared devices Bit-line-shared devices Unselected devices Itarget Ileak TaO2 Logic (OFF/High res.) Logic 1 (/Low res.) Vw 2 or float Vr Reset I dr/dt -Vthw Set Vthw (c) V (d) Fig. 1. A memristor s exemplar realization, ATF crossbar formation, and non-linear dr/dt-v characteristic. a & b) Applying a positive/negative write voltage to the device can form/destroy a low-resistance filament, by attracting/dispersing conductive particles, thus writing logic 1/ to the cell. c) A simple access-transistor-free memristive crossbar structure. A memristor is formed at each cross-point. d) Electrical characteristics of memristive devices: the solid line shows the non-linearity in the rate of change for the resistance of the device based on the applied voltage. The dashed lines shows the linear I-V characteristic observed in a typical memristive device. lic ions, etc.) to form a filament by making them drift inside the insulating oxide layer [15]. With the formation of such highly conductive filament, the device is set to a low resistance state ( state). To reset the device back to a high resistance state (OFF state), a pulse with an opposite voltage polarity is applied. Such pulse will disperse the conductive particles and rupture the filament. Figs. 1a and b show one possible realization of memristors and the filament formation and rupture processes. Memristive memory arrays are fabricated in form of a crossbar: two perpendicular layers of parallel nanowires are fabricated with one layer on top of the other, having switching oxide material deposited in between, as illustrated in Fig. 1c. Hence each cross-point has the MIM structure of a memristor with no access-transistor. The memory controller, decoder modules, and the sensing circuitry are implemented in the peripheral CMOS subsystem [12]. Such crossbar structure offers a very small footprint of 4F 2 for each memristive device, where F is the feature size of the memory technology, enabling the realization of ultra-dense memristive memory arrays. A feature size as small as 9nm has been fabricated in academia [8] and even smaller feature sizes are under active research [16, 17]. The memory density can be further increased by growing multiple layers of memristive crossbars in a 3D fashion [18]. Elimination of the access-transistor is possible because of the strong non-linearity in the rate of the change of memristor s resistance versus the applied voltage, i.e., dr/dt-v [19, 2], as shown by the solid curve in Fig. 1d. While applying voltages above a write threshold, Vthw, effectively switches the internal state of the device, applying voltages below Vthw has negligible effect on the device s state. This non-linearity combined with -Vw 2 or float Fig. 2. Voltage application schemes for read and write operations. Black (dotted white) cells represent stored logic (1). a) Vw /2 scheme for write operation. Highlighted areas show the word- and bit-line-shared devices and the unselected devices respectively. A leakage current inversely proportional to the resistance of the bit-line-shared devices leaks into the bit-line during the write operation. b) Read operation: Vr is applied to the target cell and the resulting Itarget is sensed to determine the state of the device. proper voltage application schemes could effectively provide the functionality of an access-transistor. For the write operation, a write voltage pulse of amplitude Vw above the write threshold Vthw is applied across the device in a crossbar via a method known as V/2 scheme [21]: Vw /2 is applied on the target word-line (i.e. a nanowire in the top layer of the crossbar array) and Vw /2 is applied on the target bit-line (i.e. a nanowire in the bottom layer of the crossbar array) while all other lines in both top and bottom layers are grounded, as shown in Fig. 2a. In Fig. 2, horizontal and vertical lines represent word-lines and bit-lines respectively. In such a configuration, the target cell experiences the full Vw pulse, which is greater than Vthw, and thus switches, while the bit-line-shared and word-line-shared devices only experience Vw /2 which falls below Vthw, and has negligible effect on the state of such devices. The remaining unselected devices have no bias across them. Note that the Vw pulse can be applied on the target word- and bit-line asymmetrically, e.g., Vw /3 on the bit-line and 2Vw /3 on the word-line, as long as the partial voltages across line-shared devices fall below Vthw. The read operation is performed by applying a low read voltage Vr across the device and sensing the resulting current to determine the state of the device. This could be realized by applying Vr on the target word-line and grounding the target bit-line, while other lines are grounded (or less favorably, floated [22]), as shown in Fig. 2b. While applying low Vr voltages generally would not change the state of the device, the value of the resulting current depends on the device s state, i.e., its resistance: a high (low) current indicates a low (high) resistance, and thus a logic 1 (), as illustrated in Fig. 1d. A typical sensing circuit measures the current on the bit-line, which ideally should be equal to the current passing through the target cell, Itarget, and compares it with a fixed reference current, Iref. The value of the reference current is chosen in between the expected current value for a memristor in the state (I ) and that in the OFF state (IOFF ), that is, IOFF < Iref < I. Some sensing circuits convert the bit-line current into a voltage and does the comparison in voltage [23].

3 III. CHALLENGES IN ACCESS-TRANSISTOR-FREE CROSSBARS The elimination of the per-cell access-transistors reduces the fabrication complexity and increases the memory density of memristive arrays. However, the lack of access-transistor also results in several undesired effects in an ATF memristive crossbar. During the read operation, sneak currents could flow into the intended path and interfere with the sensing operation. During the write operation, the partial voltage bias across lineshared devices could disturb the state of such devices causing write disturbance. Such partial bias can also result in leakage currents that affect the write operations. This section discusses such issues in detail. A. Sneak Current Fig. 3a shows the sneak paths during a read operation. A sneak path is formed when there is a path consisting of lowresistance devices connecting the target word-line to the target bit-line. Such paths form parallel resistances to the target memristor, through which sneak current, I sneak, flows. Consequently, the input current to the read circuitry is no longer contributed solely by the current of the target cell, I target, but also includes a I sneak component. As a typical sensing circuit employs a fixed reference current I ref to determine the state of the target device, such undesired sneak currents could affect the read operation and result in erroneous reads. The sneak current is data-dependent, as having more low-resistance () devices will form more sneak paths and result in higher sneak currents. The sneak path problem is more prominent when the unselected lines are floated [21, 22]. Keeping such lines floating would result in nondeterministic and data-dependent voltage bias across line-shared and unselected devices. Grounding the unselected lines ameliorates the sneak path problem by minimizing the voltage difference across the unselected devices and thus the amount of I sneak. However, the sneak current still exists due to the small voltage drop on the nanowires and limited driving capability of the grounded lines drivers. Two solutions exist for the sneak current problem: One is to prevent the sneak current by altering the I-V characteristics of memristive devices, and the other is to adjust the reference current I ref based on the sneak current. Sneak Current Prevention: The sneak current exists mainly due to the linear I-V characteristics of memristive devices: a small bias voltage across a line-shared or unselected device, which is common of ATF crossbars, generates a sneak current proportional to the bias and inversely proportional to the resistance of the memristive device. Hence, one solution to the sneak current problem is engineering non-linearity in the I-V characteristics of the memristive devices, such that having small biases below a read threshold V thr across the device would result in negligible currents regardless of the state of the device (illustrated in Fig. 3b). Yang et al. engineered such non-linearity by adding a conductive oxide layer inside the device stack. The non-linearity is generally associated with the Schottky-like interface of the internal oxide layers [7]. Given this non-linear I-V characteristic curve, unselected devices in an ATF crossbar appear as highly resistive I target I sneak Logic (OFF/High res.) Logic 1 (/Low res.) or float Vr or float Set CRS mode Memristor mode Read Region -V thw -V thr V thr V thw OFF I -V th2 -V th1 V th1 V th2 1 (c) I OFF 1 Read Region Destructive Write Fig. 3. Sneak path problem in an ATF crossbar and device-level solutions a) Sneak currents parallel to the target current are shown on the crossbar that mainly pass through low-resistance paths. b) Non-linear I-V characteristics introduced by an additional oxide layer in the device stack [7]: Applying voltages below a read threshold V thr incurs negligible current regardless of the state. c) Non-linear I-V characteristics in CRS devices: both binary logic values demonstrate high-resistance with different internal configurations. Red arrows show the switching behavior of the device based on the internal state and the applied voltage. A CRS cell can operate in both CRS mode (solid red) and memristive mode (dashed black). paths in case of small biases, thus considerably reducing the sneak current. The complementary resistive switch (CRS) was proposed as an alternative solution to the data-dependent sneak path problem [24]. A CRS is formed by connecting two memristors antiserially and stores binary information as an internal configuration rather than as a resistance. As originally envisioned, the idea of a CRS is to keep at least one of the constituent memristors in the OFF (high resistance) state: logic 1 () is defined as the configuration in which the bottom (top) memristor is and the other memristor is OFF, as shown in Fig. 3c. As a result, a CRS always presents a high resistance regardless of the stored logic, thus reducing the sneak current by eliminating the low-resistance paths inside the ATF crossbar. A major drawback of CRS cells is the destructive nature of the read operation in such devices: As both binary logics demonstrate a high-resistance, in order to read their state, first a write operation is performed to differentiate logic 1 from logic (the destructive write shown in Fig. 3c). Such write operation switches a CRS cell storing logic into a low resistance device by switching both constituent memristors into state, while it does not affect the state of a CRS cell storing logic 1. The device is then read using a regular reading scheme, followed by another write operation to put the device back into the highresistance CRS configuration. The additional write operations adversely affect the endurance and cause excessive energy consumption. In [25], the destructive read problem of CRS cells is addressed. The authors proposed HReRAM, a hybrid crossbar structure formed by CRS cells that can act both as a memristor and as a CRS, a behavior that has been observed in TaO x - based single memory cells [26]. HReRAM alleviates the CRS destructive read issue by keeping the frequently-accessed devices in the memristive mode whose read accesses are nondestructive. Moreover, keeping the seldom-accessed devices in a high resistance CRS mode help dampen the effect of the sneak current. Reset 1 V V

4 Reference Adjustment: Another solution to the sneak current problem is to employ a read circuit that can adjust its reference to take into account the effect of the data-dependent sneak current in an ATF crossbar. One example is the utilization of local reference memristors [27]: a subset of memristors on each word-line are programmed to have a resistance R REF between R and R OFF. During read operation, a V r pulse is also applied to a reference cell adjacent to the target cell, producing a current between I and I OFF through the reference cell. However, the current observed at the end of the reference bit-line includes a sneak current similar to the sneak current on the target bit-line. This essentially provides a locally-generated reference current that is adjusted to include the amount of the sneak current in the crossbar, thus reducing the adverse effects of the sneak current. However, this method requires several reference cells per line, as the reference cell should be sufficiently close to the target cell to be affected by a similar, and yet not exact, amount of sneak current. Moreover, the resistance value of the reference cells need to be carefully programmed and maintained. B. Leakage Current During the write operation, line-shared devices are partiallybiased. Such partial voltage introduces a leakage current on the target bit-line, as shown in Fig. 2a. The leakage current is observed even in case of memristive devices with non-linear I-V characteristics such as the one shown in Fig. 3b, since the partial voltage is usually higher than the typical read threshold voltage V thr of such devices. Similar to the sneak current, the leakage current is also data-dependent: the larger the number of devices on the bit-line, the greater the leakage current. Such leakage currents usually do not interfere with the write operation, since the write operation typically does not involve current sensing. However, the leakage current becomes problematic during an adaptive write operation. In an adaptive write operation, the length of the write pulse is adjusted for individual devices by terminating the write pulse as soon as the target device completes the switching. This is typically done by monitoring (i.e., sensing) the write-current through the target cell, I target, to detect a sudden jump in the current that indicates the completion of switching. In ATF crossbars, I target is mounted on top of a considerable data-dependent leakage current I leak, due to the bit-line-shared devices. A typical sensing circuitry cannot detect the switching in such noisy conditions. One approach to address this issue is to avoid on-the-fly sensing during the write operation. Rather, the long write pulse is broken into several smaller write pulses, each of which is followed by a regular leakage-free read operation with low V r to detect the completion of switching [2]. However, this approach suffers from the power and performance overheads of the additional read operations. In [28] a leakage-current-filtering mechanism is proposed to address this problem. In this method, each adaptive write operation consists of two stages. In the first stage, the datadependent leakage current of the bit-line-shared devices is latched. The latched I leak is then subtracted from the total current observed on the bit-line in the second stage, to obtain the -Vw 3 Target cell Logic canary cells Logic 1 canary cells 2Vw 3 R OFF R R OFF R R Target cell t t Line-shared cells R OFF R TH R Canary cell Fig. 4. Write disturbance effect and solution. Applying V w across the target cell effectively switches the target device to logic 1 (top-right), while degrading the logic stored in other line-shared devices (middle-right). Two canary cells per word-line, storing logic 1 and respectively and placed on green and blue bit-lines, keep track of the worst-case logic 1 and write disturbance effect on the line (bottom-right), and trigger a refresh operation when the degraded resistance reaches a close-to-corruption threshold, R T H. write-current contributed only by the target cell, i.e., I target. This filtered current is then sensed by a typical sensing circuit to detect the switching event. As there exists significant temporal variation in memristive devices for completing the switching of the write operation [29], this method yields a considerable energy saving in ATF memristive crossbars by enabling adaptive write operation in such crossbars. C. Write Disturbance Write disturbance is an undesired coupling effect during write operation that affects several other memristors that share the same word- and/or bit-line [3, 12]. Due to the write disturbance, writing a logic value into one memristor may disturb the resistance of the line-shared memristors that store the opposite logic value. This effect is caused by the notable partial voltage (e.g., V w /2) across line-shared devices during the write operation. The resistance degradation due to the write disturbance could accumulate over several write cycles and may eventually result in corruption or complete inversion of the stored logic value. A solution proposed in [23] addresses the problem caused by write disturbance. This solution confines the write disturbance effect to word-line-shared devices by applying asymmetric voltages to the word-line and the bit-line (e.g., V w /3 on target bit-line and 2V w /3 on target word-line): bit-line-shared devices will experience less partial bias (e.g., V w /3), which has a very negligible write-disturbance effect. Then, two regular devices on each word-line are assigned as canary cells, in which undisturbed logics 1 and are stored initially. The canary cells cannot be accessed through the standard write interface and are meant to keep track of the worst-case, cumulative write disturbance effect for their corresponding logic on each word-line: while they are affected by the write disturbance effect similar to other memristors on the same word-line, they cannot be restored to the strong logic values via the standard write operations. t

5 Memory Array 1 st layer cells 2 nd layer cells 1 st layer select line α α Row Decoder Short bit-line segment 16 Word-lines 2 nd layer select line select tr. Nanowire segments Multicell Connectivity Domain +Vw/2 Vw/2 Vr GND Nanowire segments Sense Amp/Write Circuit/ECC Global Bit-lines Fig. 5. Hierarchical bit-line architecture. Bit-lines are divided into short bit-line segments, having 16 crosspoints each. At each access cycle, only one of such short bit-line segments is connected to a global bit-line, through a select transistor During a write operation on word-line W, the resistance values of W s two canary cells are monitored to avoid data corruption: As canary cells experience the worst disturbance accumulation of all devices on W (explained in the last paragraph), therefore, as long as the resistance value of each canary cell is in its valid range, the validity of the data stored on other devices on W can be guaranteed. Whenever the resistance value of a canary cell reaches a known close-to-corruption threshold, R T H, a refresh operation is triggered that refreshes all memristive devices on W. Fig. 4 illustrates write disturbance effect as well as the proposed solution. IV. SCALABILITY CHALLENGES IN MEMRISTIVE CROSSBARS ATF memristive crossbars have limited scalability due to the fact that as the crossbar size grows, the number of partiallyselected devices increases. One major issue is due to the collective sneak current in larger-scale ATF crossbars during the read operation. Engineering non-linearity in the I-V characteristics of memristive devices [7] could reduce the sneak current through individual sneak paths; however, the number of sneak paths increases with the size of the crossbar. This in turn results in a large cumulative sneak current in larger-scale ATF crossbars. Such large sneak currents adversely affect the read circuitry s ability to accurately determine the stored logic value. Moreover, increasing the size of the crossbar escalates the leakage current of partially-selected devices during the write operation. This in turn results in 1) lower energy efficiency due to the higher wasted power in partially-selected devices, and 2) higher current requirements for the memory chip that might saturate the driving CMOS circuitry and result in significant voltage drop [7]. Architectural solutions have been proposed to address such issues that prevent the accumulation of sneak and leakage currents by limiting the number of cross-points per nanowire, i.e., partially-selected devices [14, 31]. The general idea is to segment long nanowires in large-scale crossbars into smaller segments with limited number of crosspoints per segment, while maintaining the high memory-density through novel architectural and interfacing innovations. Write 1 Write Write 1 Read (c) Fig. 6. CMOL architecture. Nanowires in memristive layer are segmented and are accessed via an area-distributed interface at CMOS level. a) and b) Possible configuration with 8 and 4 crosspoints per nanowire, respectively. The connectivity domain is shown in gray and the associated multicell is marked by dashed lines. c) Concurrent read and write operations can be performed on different multicells. One such solution was proposed by Kawahara et al. in which a hierarchical bit-line structure is employed [14] as shown in Fig. 5. This structure breaks a long bit-line into several short segments, only one of which can be accessed at any given time. Each short bit-line segment has only 16 cross-points, effectively limiting the level of leakage and sneak currents. A select-transistor is utilized in the CMOS layer to select the active bit-line segment. The select-transistor does not affect the overall memory density as it is shared among 16 memristors and is laid out underneath the memristive crossbar. However, this method can support only up to two layers of memristive memory, which limits the potential memory density offered by this architecture. Another architecture proposed by Likharev et al., called CMOL, is especially designed for many-layer memristive memories [31]. In CMOL, the crossbar nanowires in the memristive layer are broken into smaller segments with few crosspoints per segment. Shorter segments are accessed via an areadistributed interface from the beneath CMOS circuitry. The distributed interface consists of two rectangular arrays of pins, called blue and red pins, rotated by an angle α with respect to the direction of the crossbar nanowires. Blue and red pins provide individual access to the horizontal and vertical nanowires, respectively. A memristive device is accessed by selecting one of the blue pins, and one of the red pins that falls in the connectivity domain of the blue pin. The distributed interface, rotated crossbar and connectivity domain for two CMOL configurations (with different α) are shown in Figs. 6a and b. Several CMOS-CMOL integration efforts has been summarized in [6], and a realization of CMOL can be found in [13]. A major drawback of the CMOL architecture is its sophisticated addressing scheme, as the shape of the connectivity domain depends on the number of crosspoints per nanowire segment. Figs. 6a and b show two of the possible shapes for a connectivity domain. In [32] a memory organization and address decoding scheme were proposed that facilitates the implementation of scalable 3D memory systems with CMOL interface. The proposed solution divides the crossbar and un-

6 derlying CMOS circuitry into multicells that are square regardless of the shape of the connectivity domain, as shown with the dashed lines in Figs. 6a and b. The main advantage of this solution is that an operation in the multicell can be decoupled from other areas, enabling concurrent read, write logic 1 and write logic operations in memristive crossbars, as illustrated in Fig. 6c. This organization allows the usage of such crossbars either as standalone memories or as memory banks in a multi-bank memory. V. CCLUSI In this paper we discuss and address the challenges caused by the elimination of access-transistors in memristive crossbars. The parasitic sneak currents during read operations are avoided using memristive devices with non-linear I-V characteristics. Local reference cells are utilized to generate adjustable reference currents which provide a robust read operation even in the presence of sneak current. A filtering scheme is proposed to cancel the considerable leakage current during the write operation to enable an adaptive write operation in accesstransistor-free crossbars. Finally, the write disturbance is addressed by employing per-word-line canary cells that monitor the level of resistance degradation and trigger refresh operation when boundary conditions are met. We also discuss the escalation of these effects in larger-scale ATF crossbars, which limits crossbars scalability. Innovative architectures, such as CMOL, are presented that offer ATF crossbars with better scalability. A novel memory organization which addresses the inherent addressing complexity of CMOL architecture is also described. ACKNOWLEDGMENT This work is supported by the Air Force Office of Scientific Research under the MURI grant FA REFERENCES [1] International Technology Roadmap for Semiconductors (ITRS), 211. [2] F. Bedeschi, R. Fackenthal, A. Resta, E. M. Donze, M. Jagasivamani, E. Buda, F. Pellizzer, D. Chow, A. Cabrini, G. Calvi, R. Faravelli, A. Fantini, G. Torelli, D. Mills, R. Gastaldi, and G. Casagrande, A multi-level-cell bipolar-selected phasechange memory, in International Solid-State Circuits Conference (ISSCC), p. 428, IEEE, February 28. [3] A. Driskill-Smith, D. Apalkov, V. Nikitin, X. Tang, S. Watts, D. Lottis, K. Moon, A. Khvalkovskiy, R. Kawakami, X. Luo,, A. Ong, E. Chen, and M. Krounbi, Latest advances and roadmap for in-plane and perpendicular STT-RAM, in 3rd International Memory Workshop (IMW), pp. 1 3, IEEE, 211. [4] R. Waser and M. Aono, Nanoionics-based resistive switching memories, Nature Materials, vol. 6, pp , 27. [5] L. Chua, Resistance switching memories are memristors, Applied Physics A: Materials Science & Processing, vol. 12, no. 4, pp , 211. [6] K.-T. Cheng and D. B. Strukov, 3D CMOS-Memristor Hybrid Circuits: Devices, Integration, Architecture, and Applications, in International Symposium on Physical Design (ISPD), IEEE, March 212. [7] J. J. Yang, M.-X. Zhang, M. D. Pickett, M. Feng, J. P. Strachan, W.-D. Li, W. Yi, D. A. A. Ohlberg, B. J. Choi, W. Wu, J. H. Nickel, G. Medeiros-Ribeiro, and R. S. Williams, Engineering nonlinearity into memristors for passive crossbar applications, Applied Physics Letters, vol. 1, no. 11, p , 212. [8] C. Ho, C.-L. Hsu, C.-C. Chen, J.-T. Liu, C.-S. Wu, C.-C. Huang, C. Hu, and F.- L. Yang, 9nm half-pitch functional resistive memory cell with 1 ua programming current using thermally oxidized sub-stoichiometric WOx film, in International Electron Devices Meeting (IEDM), pp , IEEE, 21. [9] J. P. Strachan, A. C. Torrezan, M. F. Miao, M. D. Pickett, J. J. Yang, W. Yi, G. Medeiros-Ribeiro, and R. S. Williams, Measuring the switching dynamics and energy efficiency of tantalum oxide memristors, Nanotechnology, vol. 22, p. 5542, November 211. [1] International Technology Roadmap for Semiconductors (ITRS), Emerging Research Devices, 211. [11] A. Rahimi, A. Ghofrani, M. A. Lastras-Montaño, K.-T. Cheng, L. Benini, and R. K. Gupta, Energy-Efficient GPGPU Architectures via Collaborative Compilation and Memristive Memory-Based Computing, in Design Automation Conference (DAC), June 214. [12] K.-H. Kim, S. Gaba, D. Wheeler, J. M. Cruz-Albrecht, T. Hussain, N. Srinivasa, and W. Lu, A Functional Hybrid Memristor Crossbar-Array/CMOS System for Data Storage and Neuromorphic Applications, Nano Letters, vol. 12, no. 1, pp , 212. [13] Q. Xia, W. M. Tong, W. Wu, J. J. Yang, X. Li, W. Robinett, T. Cardinali, M. Cumbie, J. E. Ellenson, P. Kuekes, et al., On the integration of memristors with CMOS using nanoimprint lithography, in SPIE Advanced Lithography, pp , International Society for Optics and Photonics, 29. [14] A. Kawahara, R. Azuma, Y. Ikeda, K. Kawai, Y. Katoh, Y. Hayakawa, K. Tsuji, S. Yoneda, A. Himeno, K. Shimakawa, et al., An 8 Mb multi-layered cross-point ReRAM macro with 443 MB/s write throughput, IEEE Journal of Solid-State Circuits, vol. 48, no. 1, pp , 213. [15] J. J. Yang, M. D. Pickett, X. Li, D. A. A. Ohlberg, D. R. Stewart, and R. S. Williams, Memristive switching mechanism for metal/oxide/metal nanodevices, Nature nanotechnology, vol. 3, no. 7, pp , 28. [16] S. Pi, P. Lin, and Q. Xia, Cross point arrays of 8nm x 8nm memristive devices fabricated with nanoimprint lithography, Journal of Vacuum Science Technology B: Microelectronics and Nanometer Structures, vol. 31, pp. 6FA2 6FA2 6, Nov 213. [17] A. Younis, D. Chu, X. Lin, J. Yi, F. Dang, and S. Li, High-Performance Nanocomposite Based Memristor with Controlled Quantum Dots as Charge Traps, ACS Applied Materials and Interfaces, vol. 5, no. 6, pp , 213. [18] D. TU et al., Three-Dimensional CMOL: Three-Dimensional Integration of CMOS/Nanomaterial Hybrid Digital Circuits, Micro and Nano Letters, vol. 2, no. 2, pp. 4 45, 27. [19] J. J. Yang, D. B. Strukov, and D. R. Stewart, Memristive devices for computing, Nature Nanotechnology, vol. 8, pp , January 213. [2] F. Alibart, L. Gao, B. D. Hoskins, and D. B. Strukov, High precision tuning of state for memristive devices by adaptable variation-tolerant algorithm, Nanotechnology, vol. 23, no. 7, p. 7521, 212. [21] M. A. Zidan, H. A. H. Fahmy, M. M. Hussain, and K. N. Salama, Memristor-based memory: the sneak paths problem and solutions, Microelectronics Journal, vol. 44, no. 2, pp , 213. [22] H. Manem, G. S. Rose, X. He, and W. Wang, Design Considerations for Variation Tolerant Multilevel CMOS/Nano Memristor Memory, in Proceedings of the 2th Symposium on Great Lakes Symposium on VLSI (GLSVLSI), pp , ACM, 21. [23] A. Ghofrani, M. A. Lastras-Montaño, and K.-T. Cheng, Towards data reliable crossbar-based memristive memories, in International Test Conference (ITC), pp. 1 1, IEEE, 213. [24] E. Linn, R. Rosezin, C. Kügeler, and R. Waser, Complementary Resistive Switches for Passive Nanocrossbar Memories, Nature Materials, vol. 9, pp , 21. [25] M. A. Lastras-Montaño, A. Ghofrani, and K.-T. Cheng, HReRAM: A Hybrid Reconfigurable Resistive Random-Access Memory, in Proceedings Design, Automation, and Test in Europe (DATE), IEEE, March 215. [26] Y. Yang, P. Sheridan, and W. Lu, Complementary resistive switching in tantalum oxide-based resistive memory devices, Applied Physics Letters, vol. 1, no. 2, p , 212. [27] J. Mustafa and R. Waser, A Novel Reference Scheme for Reading Passive Resistive Crossbar Memories, IEEE Transactions on Nanotechnology, vol. 5, pp , Nov 26. [28] A. Ghofrani, M. A. Lastras-Montaño, S. Gaba, M. Payvand, K.-T. Cheng, W. Lu, and L. Theogarajan, A Low-Power Variation-Aware Adaptive Write Scheme for Access-Transistor-Free Memristive Memory, Journal on Emerging Technologies in Computing Systems, 215. [29] S. Gaba, P. Sheridan, J. Zhou, S. Choi, and W. Lu, Stochastic memristive devices for computing and neuromorphic applications, Nanoscale, vol. 5, pp , 213. [3] D. Niu et al., Design trade-offs for high density cross-point resistive memory, in International Symposium on Low Power Electronics and Design (ISLPED), pp , ACM/IEEE, 212. [31] K. K. Likharev and D. B. Strukov, CMOL: Devices, circuits, and architectures, pp Springer, 25. [32] M. A. Lastras-Montaño, A. Ghofrani, and K.-T. Cheng, Architecting Low Power Crossbar-Based Memristive RAM, in Proceedings Non-Volatile Memory Workshop (NVMW), March 213.

Architecting Energy Efficient Crossbar-Based Memristive Random-Access Memories

Architecting Energy Efficient Crossbar-Based Memristive Random-Access Memories Architecting Energy Efficient Crossbar-Based Memristive Random-Access Memories Miguel Angel Lastras-Montaño Department of Electrical and Computer Engineering University of California, Santa Barbara Santa

More information

Integration, Architecture, and Applications of 3D CMOS Memristor Circuits

Integration, Architecture, and Applications of 3D CMOS Memristor Circuits Integration, Architecture, and Applications of 3D CMOS Memristor Circuits K. T. Tim Cheng and Dimitri Strukov Univ. of California, Santa Barbara ISPD 2012 1 3D Hybrid CMOS/NANO add-on nanodevices layer

More information

Arithmetic Encoding for Memristive Multi-Bit Storage

Arithmetic Encoding for Memristive Multi-Bit Storage Arithmetic Encoding for Memristive Multi-Bit Storage Ravi Patel and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester Rochester, New York 14627 {rapatel,friedman}@ece.rochester.edu

More information

3D integration of planar crossbar memristive devices with CMOS substrate

3D integration of planar crossbar memristive devices with CMOS substrate University of Massachusetts - Amherst From the SelectedWorks of Qiangfei Xia 0 D integration of planar crossbar memristive devices with CMOS substrate Peng Lin, University of Massachusetts - Amherst Shuang

More information

A Differential 2R Crosspoint RRAM Array with Zero Standby Current

A Differential 2R Crosspoint RRAM Array with Zero Standby Current 1 A Differential 2R Crosspoint RRAM Array with Zero Standby Current Pi-Feng Chiu, Student Member, IEEE, and Borivoje Nikolić, Senior Member, IEEE Department of Electrical Engineering and Computer Sciences,

More information

3D Vertical Dual-Layer Oxide Memristive Devices for Neuromorphic Computing

3D Vertical Dual-Layer Oxide Memristive Devices for Neuromorphic Computing 3D Vertical Dual-Layer Oxide Memristive Devices for Neuromorphic Computing Siddharth Gaba, Patrick Sheridan, Chao Du, and Wei Lu* Electrical Engineering and Computer Science, University of Michigan, Ann

More information

Associative Memristive Memory for Approximate Computing in GPUs

Associative Memristive Memory for Approximate Computing in GPUs IEEE JETCAS, SPECIAL ISSUE EMERGING MEMORIES TECHNOLOGY, ARCHITECTURE & APPLICATIS, 25 Associative Memristive Memory for Approximate Computing in GPUs Amirali Ghofrani, Student Member, IEEE, Abbas Rahimi,

More information

CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure. John Zacharkow

CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure. John Zacharkow CMOL: Hybrid of CMOS with Overlaid Nanogrid and Nanodevice Structure John Zacharkow Overview Introduction Background CMOS Review CMOL Breakdown Benefits/Shortcoming Looking into the Future Introduction

More information

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage

64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage 64 Kb logic RRAM chip resisting physical and side-channel attacks for encryption keys storage Yufeng Xie a), Wenxiang Jian, Xiaoyong Xue, Gang Jin, and Yinyin Lin b) ASIC&System State Key Lab, Dept. of

More information

Efficient logic architectures for CMOL nanoelectronic circuits

Efficient logic architectures for CMOL nanoelectronic circuits Efficient logic architectures for CMOL nanoelectronic circuits C. Dong, W. Wang and S. Haruehanroengra Abstract: CMOS molecular (CMOL) circuits promise great opportunities for future hybrid nanoscale IC

More information

1 Introduction

1 Introduction Published in Micro & Nano Letters Received on 9th April 2008 Revised on 27th May 2008 ISSN 1750-0443 Design of a transmission gate based CMOL memory array Z. Abid M. Barua A. Alma aitah Department of Electrical

More information

Modeling and Design Analysis of 3D Vertical Resistive Memory - A Low Cost Cross-Point Architecture

Modeling and Design Analysis of 3D Vertical Resistive Memory - A Low Cost Cross-Point Architecture Modeling and Design Analysis of 3D Vertical Resistive Memory - A Low Cost Cross-Point Architecture Cong Xu, Dimin Niu, Shimeng Yu, Yuan Xie, Pennsylvania State University, {czx102,dun118,yuanxie}@cse.psu.edu

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information

MAGNETORESISTIVE random access memory

MAGNETORESISTIVE random access memory 132 IEEE TRANSACTIONS ON MAGNETICS, VOL. 41, NO. 1, JANUARY 2005 A 4-Mb Toggle MRAM Based on a Novel Bit and Switching Method B. N. Engel, J. Åkerman, B. Butcher, R. W. Dave, M. DeHerrera, M. Durlam, G.

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications

HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its Potential for Embedded Applications 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore HfO 2 Based Resistive Switching Non-Volatile Memory (RRAM) and Its

More information

Modeling Size Limitations of Resistive Crossbar Array With Cell Selectors Albert Ciprut, Student Member, IEEE, andebyg.friedman,fellow, IEEE

Modeling Size Limitations of Resistive Crossbar Array With Cell Selectors Albert Ciprut, Student Member, IEEE, andebyg.friedman,fellow, IEEE 286 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 25, NO., JANUARY 207 Modeling Size Limitations of Resistive Crossbar Array With Cell Selectors Albert Ciprut, Student Member,

More information

A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference

A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference A novel sensing algorithm for Spin-Transfer-Torque magnetic RAM (STT-MRAM) by utilizing dynamic reference Yong-Sik Park, Gyu-Hyun Kil, and Yun-Heub Song a) Department of Electronics and Computer Engineering,

More information

Memristor-CMOS Hybrid Integrated Circuits for Reconfigurable Logic

Memristor-CMOS Hybrid Integrated Circuits for Reconfigurable Logic Memristor-CMOS Hybrid Integrated Circuits for Reconfigurable Logic NANO LETTERS 2009 Vol. 9, No. 10 3640-3645 Qiangfei Xia,*, Warren Robinett, Michael W. Cumbie, Neel Banerjee, Thomas J. Cardinali, J.

More information

FIG. 1: (a) Schematic of the device showing the material stack and relative thickness of each layer. (b) I-V switching characteristics of the device.

FIG. 1: (a) Schematic of the device showing the material stack and relative thickness of each layer. (b) I-V switching characteristics of the device. Pulse Width and Height Modulation for Multi-level Resistance in bi-layer TaO x based RRAM Zahiruddin Alamgir, 1 Karsten Beckmann, 1 Joshua Holt, 1 and Nathaniel C. Cady 1 Colleges of Nanoscale Science

More information

Non-Volatile Look-up Table Based FPGA Implementations

Non-Volatile Look-up Table Based FPGA Implementations Non-Volatile Look-up Table Based Implementations Lei Xie, Hoang Anh Du Nguyen, Mottaqiallah Taouil, Said Hamdioui, Koen Bertels, Mohammad Alfailakawi* Laboratory of Computer Engineering, Delft University

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

A multiply-add engine with monolithically integrated 3D memristor crossbar/cmos hybrid circuit

A multiply-add engine with monolithically integrated 3D memristor crossbar/cmos hybrid circuit www.nature.com/scientificreports OPEN received: 30 September 2016 accepted: 09 January 2017 Published: 14 February 2017 A multiply-add engine with monolithically integrated 3D memristor crossbar/cmos hybrid

More information

A Non-Linear, Ionic Drift, Spice Compatible Model for Memristors

A Non-Linear, Ionic Drift, Spice Compatible Model for Memristors 2011 International Conference on Circuits, System and Simulation IPCSIT vol.7 (2011) (2011) IACSIT Press, Singapore A Non-Linear, Ionic Drift, Spice Compatible Model for Memristors Afsaneh Shadaram 1+,

More information

A Synchronized Axon Hillock Neuron for Memristive Neuromorphic Systems

A Synchronized Axon Hillock Neuron for Memristive Neuromorphic Systems A Synchronized Axon Hillock Neuron for Memristive Neuromorphic Systems Ryan Weiss, Gangotree Chakma, and Garrett S. Rose IEEE International Midwest Symposium on Circuits and Systems (MWSCAS), Boston, Massachusetts,

More information

In pursuit of high-density storage class memory

In pursuit of high-density storage class memory Edition October 2017 Semiconductor technology & processing In pursuit of high-density storage class memory A novel thermally stable GeSe-based selector paves the way to storage class memory applications.

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Differential 2R Crosspoint RRAM for Memory System in Mobile Electronics with Zero Standby Current

Differential 2R Crosspoint RRAM for Memory System in Mobile Electronics with Zero Standby Current EE241 Final Project Report, Spring 213 1 Differential 2R Crosspoint RRAM for Memory System in Mobile Electronics with Zero Standby Current Pi-Feng Chiu, Pengpeng Lu, and Zeying Xin Electrical Engineer

More information

The challenges of configurable hybrid Memristor-CMOS Integrated circuits fabrication

The challenges of configurable hybrid Memristor-CMOS Integrated circuits fabrication The challenges of configurable hybrid Memristor-CMOS Integrated circuits fabrication 30 nm Hewlett-Packard Laboratories, Palo Alto CA Gilberto Medeiros Ribeiro gilbertor@hp.com 2010 Hewlett-Packard Development

More information

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver 3.1 INTRODUCTION As last chapter description, we know that there is a nonlinearity relationship between luminance

More information

Novel Devices and Circuits for Computing

Novel Devices and Circuits for Computing Novel Devices and Circuits for Computing UCSB 594BB Winter 2013 Lecture 7: CMOL Outline CMOL Main idea 3D CMOL CMOL memory CMOL logic General purporse Threshold logic Pattern matching Hybrid CMOS/Memristor

More information

Voltage Controlled Delay Line Applied with Memristor in Delay Locked Loop

Voltage Controlled Delay Line Applied with Memristor in Delay Locked Loop 2014 Fifth International Conference on Intelligent Systems, Modelling and Simulation Voltage Controlled Delay Line Applied with Memristor in Delay Locked Loop Siti Musliha Ajmal Binti Mokhtar Faculty of

More information

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation 2017 International Conference on Electronic, Control, Automation and Mechanical Engineering (ECAME 2017) ISBN: 978-1-60595-523-0 A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

CMOL Based Quaded Transistor NAND Gate Building Block of Robust Nano Architecture

CMOL Based Quaded Transistor NAND Gate Building Block of Robust Nano Architecture Journal of Electrical and Electronic Engineering 2017; 5(6): 242-249 http://www.sciencepublishinggroup.com/j/jeee doi: 10.11648/j.jeee.20170506.15 ISSN: 2329-1613 (Print); ISSN: 2329-1605 (Online) CMOL

More information

An 8-bit Analog-to-Digital Converter based on the Voltage-Dependent Switching Probability of a Magnetic Tunnel Junction

An 8-bit Analog-to-Digital Converter based on the Voltage-Dependent Switching Probability of a Magnetic Tunnel Junction An 8-bit Analog-to-Digital Converter based on the Voltage-Dependent Switching Probability of a Magnetic Tunnel Junction Won Ho Choi*, Yang Lv*, Hoonki Kim, Jian-Ping Wang, and Chris H. Kim *equal contribution

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

1.1 Nanotechnology and nanoelectronics. The rapidly expanding fields of nanoscience and nanotechnology are within the midst of

1.1 Nanotechnology and nanoelectronics. The rapidly expanding fields of nanoscience and nanotechnology are within the midst of 1 Chapter 1 Thesis overview 1.1 Nanotechnology and nanoelectronics The rapidly expanding fields of nanoscience and nanotechnology are within the midst of an extraordinary period of scientific and technological

More information

RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM

RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM RANA: Towards Efficient Neural Acceleration with Refresh-Optimized Embedded DRAM Fengbin Tu, Weiwei Wu, Shouyi Yin, Leibo Liu, Shaojun Wei Institute of Microelectronics Tsinghua University The 45th International

More information

Nanowire-Based Programmable Architectures

Nanowire-Based Programmable Architectures Nanowire-Based Programmable Architectures ANDR E E DEHON ACM Journal on Emerging Technologies in Computing Systems, Vol. 1, No. 2, July 2005, Pages 109 162 162 INTRODUCTION Goal : to develop nanowire-based

More information

PREVIOUS work (e.g., [1], [2]) has demonstrated that it is

PREVIOUS work (e.g., [1], [2]) has demonstrated that it is IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 4, NO. 6, NOVEMBER 2005 681 Deterministic Addressing of Nanoscale Devices Assembled at Sublithographic Pitches André DeHon, Member, IEEE Abstract Multiple techniques

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

A Parallel Analog CCD/CMOS Signal Processor

A Parallel Analog CCD/CMOS Signal Processor A Parallel Analog CCD/CMOS Signal Processor Charles F. Neugebauer Amnon Yariv Department of Applied Physics California Institute of Technology Pasadena, CA 91125 Abstract A CCO based signal processing

More information

A Novel Multiplier Design using Adaptive Hold Logic to Mitigate BTI Effect

A Novel Multiplier Design using Adaptive Hold Logic to Mitigate BTI Effect GRD Journals Global Research and Development Journal for Engineering International Conference on Innovations in Engineering and Technology (ICIET) - 2016 July 2016 e-issn: 2455-5703 A Novel Multiplier

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Study and Simulation of Fault Tolerant Quantum Cellular Automata Structures

Study and Simulation of Fault Tolerant Quantum Cellular Automata Structures Study and Simulation of Fault Tolerant Quantum Cellular Automata Structures Dr. E.N.Ganesh, 2 R.Kaushik Ragavan, M.Krishna Kumar and V.Krishnan Abstract Quantum cellular automata (QCA) is a new technology

More information

SneakPath compensation circuit for programming and read operations in RRAM-based CrossPoint architectures

SneakPath compensation circuit for programming and read operations in RRAM-based CrossPoint architectures SneakPath compensation circuit for programming and read operations in RRAM-based CrossPoint architectures Alexandre Levisse, Bastien Giraud, Jean-Philippe Noel, Mathieu Moreau, Jean-Michel Portal To cite

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

Widely Tunable Adaptive Resolution-controlled Read-sensing Reference Current Generation for Reliable PRAM Data Read at Scaled Technologies

Widely Tunable Adaptive Resolution-controlled Read-sensing Reference Current Generation for Reliable PRAM Data Read at Scaled Technologies JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.363 ISSN(Online) 2233-4866 Widely Tunable Adaptive Resolution-controlled

More information

Photons and solid state detection

Photons and solid state detection Photons and solid state detection Photons represent discrete packets ( quanta ) of optical energy Energy is hc/! (h: Planck s constant, c: speed of light,! : wavelength) For solid state detection, photons

More information

"L avenir est comme le reste il n est plus ce qu il était Paul Valery, Notre Destin et Les Lettres, 1937)"

L avenir est comme le reste il n est plus ce qu il était Paul Valery, Notre Destin et Les Lettres, 1937) "L avenir est comme le reste il n est plus ce qu il était Paul Valery, Notre Destin et Les Lettres, 1937)" Yan Borodovsky SPIE Fellow Leti Alternative Lithography Workshop, March 1, 2018, San Jose, CA,

More information

MEMORY storage elements are the key components

MEMORY storage elements are the key components 2190 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 62, NO. 7, JULY 2015 A μ-controller-based System for Interfacing Selectorless RRAM Crossbar Arrays Radu Berdan, Student Member, IEEE, Alexander Serb, Member,

More information

N 3 ASIC-BASED NANOWIRE VOLATILE RAM

N 3 ASIC-BASED NANOWIRE VOLATILE RAM N 3 ASIC-BASED NANOWIRE VOLATILE RAM Mostafizur Rahman*, Pritish Narayanan and Csaba Andras Moritz Abstract As CMOS technology advances into the nanoscale, the continuous push for low power, high performance,

More information

MULTI-PORT MEMORY DESIGN FOR ADVANCED COMPUTER ARCHITECTURES. by Yirong Zhao Bachelor of Science, Shanghai Jiaotong University, P. R.

MULTI-PORT MEMORY DESIGN FOR ADVANCED COMPUTER ARCHITECTURES. by Yirong Zhao Bachelor of Science, Shanghai Jiaotong University, P. R. MULTI-PORT MEMORY DESIGN FOR ADVANCED COMPUTER ARCHITECTURES by Yirong Zhao Bachelor of Science, Shanghai Jiaotong University, P. R. China, 2011 Submitted to the Graduate Faculty of the Swanson School

More information

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2 IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 03, 2016 ISSN (online): 2321-0613 A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak

More information

Compact Oscillation Neuron Exploiting Metal-Insulator- Transition for Neuromorphic Computing

Compact Oscillation Neuron Exploiting Metal-Insulator- Transition for Neuromorphic Computing Compact Oscillation Neuron Exploiting Metal-Insulator- Transition for Neuromorphic Computing Pai-Yu Chen, Jae-sun Seo, Yu Cao, and Shimeng Yu * Arizona State University, Tempe, AZ 85281, USA * Email: shimengy@asu.edu

More information

Journal of Electron Devices, Vol. 20, 2014, pp

Journal of Electron Devices, Vol. 20, 2014, pp Journal of Electron Devices, Vol. 20, 2014, pp. 1786-1791 JED [ISSN: 1682-3427 ] ANALYSIS OF GIDL AND IMPACT IONIZATION WRITING METHODS IN 100nm SOI Z-DRAM Bhuwan Chandra Joshi, S. Intekhab Amin and R.

More information

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 Dummy Gate-Assisted n-mosfet Layout for a Radiation-Tolerant Integrated Circuit Min Su Lee and Hee Chul Lee Abstract A dummy gate-assisted

More information

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b.

Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. Transistor Network Restructuring Against NBTI Degradation. P. F. Butzen a, V. Dal Bem a, A. I. Reis b, R. P. Ribas b. a PGMICRO, Federal University of Rio Grande do Sul, Porto Alegre, Brazil b Institute

More information

Fundamentals of CMOS Image Sensors

Fundamentals of CMOS Image Sensors CHAPTER 2 Fundamentals of CMOS Image Sensors Mixed-Signal IC Design for Image Sensor 2-1 Outline Photoelectric Effect Photodetectors CMOS Image Sensor(CIS) Array Architecture CIS Peripherals Design Considerations

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

Variation and Defect Tolerance for Nano Crossbars. Cihan Tunc

Variation and Defect Tolerance for Nano Crossbars. Cihan Tunc Variation and Defect Tolerance for Nano Crossbars A Thesis Presented by Cihan Tunc to The Department of Electrical and Computer Engineering in partial fulfillment of the requirements for the degree of

More information

A Survey on A High Performance Approximate Adder And Two High Performance Approximate Multipliers

A Survey on A High Performance Approximate Adder And Two High Performance Approximate Multipliers IOSR Journal of Business and Management (IOSR-JBM) e-issn: 2278-487X, p-issn: 2319-7668 PP 43-50 www.iosrjournals.org A Survey on A High Performance Approximate Adder And Two High Performance Approximate

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

Memristive Operational Amplifiers

Memristive Operational Amplifiers Procedia Computer Science Volume 99, 2014, Pages 275 280 BICA 2014. 5th Annual International Conference on Biologically Inspired Cognitive Architectures Memristive Operational Amplifiers Timur Ibrayev

More information

Design of a Hybrid Non-Volatile SRAM Cell for Concurrent SEU Detection and Correction

Design of a Hybrid Non-Volatile SRAM Cell for Concurrent SEU Detection and Correction > REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT)

More information

S-band gain-clamped grating-based erbiumdoped fiber amplifier by forward optical feedback technique

S-band gain-clamped grating-based erbiumdoped fiber amplifier by forward optical feedback technique S-band gain-clamped grating-based erbiumdoped fiber amplifier by forward optical feedback technique Chien-Hung Yeh 1, *, Ming-Ching Lin 3, Ting-Tsan Huang 2, Kuei-Chu Hsu 2 Cheng-Hao Ko 2, and Sien Chi

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

電子電路. Memory and Advanced Digital Circuits

電子電路. Memory and Advanced Digital Circuits 電子電路 Memory and Advanced Digital Circuits Hsun-Hsiang Chen ( 陳勛祥 ) Department of Electronic Engineering National Changhua University of Education Email: chenhh@cc.ncue.edu.tw Spring 2010 2 Reference Microelectronic

More information

Application Note Model 765 Pulse Generator for Semiconductor Applications

Application Note Model 765 Pulse Generator for Semiconductor Applications Application Note Model 765 Pulse Generator for Semiconductor Applications Non-Volatile Memory Cells Characterization The trend of memory research is to develop a new memory called Non-Volatile RAM that

More information

Southern Methodist University Dallas, TX, Department of Physics. Southern Methodist University Dallas, TX, 75275

Southern Methodist University Dallas, TX, Department of Physics. Southern Methodist University Dallas, TX, 75275 Total Ionization Dose Effect Studies of a 0.25 µm Silicon-On-Sapphire CMOS Technology Tiankuan Liu 2, Ping Gui 1, Wickham Chen 1, Jingbo Ye 2, Cheng-AnYang 2, Junheng Zhang 1, Peiqing Zhu 1, Annie C. Xiang

More information

A Novel Technique to Reduce Write Delay of SRAM Architectures

A Novel Technique to Reduce Write Delay of SRAM Architectures A Novel Technique to Reduce Write Delay of SRAM Architectures SWAPNIL VATS AND R.K. CHAUHAN * Department of Electronics and Communication Engineering M.M.M. Engineering College, Gorahpur-73 010, U.P. INDIA

More information

PHASE-LOCKED loops (PLLs) are widely used in many

PHASE-LOCKED loops (PLLs) are widely used in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 58, NO. 3, MARCH 2011 149 Built-in Self-Calibration Circuit for Monotonic Digitally Controlled Oscillator Design in 65-nm CMOS Technology

More information

Chapter 2 : Semiconductor Materials & Devices (II) Feb

Chapter 2 : Semiconductor Materials & Devices (II) Feb Chapter 2 : Semiconductor Materials & Devices (II) 1 Reference 1. SemiconductorManufacturing Technology: Michael Quirk and Julian Serda (2001) 3. Microelectronic Circuits (5/e): Sedra & Smith (2004) 4.

More information

Statistical Analysis for Memristor Crossbar Memories

Statistical Analysis for Memristor Crossbar Memories Int. Journ. of Unconventional Computing, Vol. 12, pp. 251 264 Reprints available directly from the publisher Photocopying permitted by license only 2016 Old City Publishing, Inc. Published by license under

More information

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER

CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER CHAPTER 2 POLARIZATION SPLITTER- ROTATOR BASED ON A DOUBLE- ETCHED DIRECTIONAL COUPLER As we discussed in chapter 1, silicon photonics has received much attention in the last decade. The main reason is

More information

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS -Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS Jiajun Shi, Mingyu Li and Csaba Andras Moritz Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA,

More information

DIGITALLY controlled and area-efficient calibration circuits

DIGITALLY controlled and area-efficient calibration circuits 246 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 5, MAY 2005 A Low-Voltage 10-Bit CMOS DAC in 0.01-mm 2 Die Area Brandon Greenley, Raymond Veith, Dong-Young Chang, and Un-Ku

More information

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology

ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology ESD-Transient Detection Circuit with Equivalent Capacitance-Coupling Detection Mechanism and High Efficiency of Layout Area in a 65nm CMOS Technology Chih-Ting Yeh (1, 2) and Ming-Dou Ker (1, 3) (1) Department

More information

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir Parallel Computing 2020: Preparing for the Post-Moore Era Marc Snir THE (CMOS) WORLD IS ENDING NEXT DECADE So says the International Technology Roadmap for Semiconductors (ITRS) 2 End of CMOS? IN THE LONG

More information

Memristor Load Current Mirror Circuit

Memristor Load Current Mirror Circuit Memristor Load Current Mirror Circuit Olga Krestinskaya, Irina Fedorova, and Alex Pappachen James School of Engineering Nazarbayev University Astana, Republic of Kazakhstan Abstract Simple current mirrors

More information

DfT Schemes for Resistive Open Defects in RRAMs

DfT Schemes for Resistive Open Defects in RRAMs ft Schemes for Resistive Open efects in RRAMs Nor Zaidi Haron,2 Faculty of Electronics and Computer Engineering Universiti Teknikal Malaysia Melaka Melaka, Malaysia zaidi@utem.edu.my, N.Z.B.Haron@tudelft.nl

More information

Chalcogenide Memory, Logic and Processing Devices. Prof C David Wright Department of Engineering University of Exeter

Chalcogenide Memory, Logic and Processing Devices. Prof C David Wright Department of Engineering University of Exeter Chalcogenide Memory, Logic and Processing Devices Prof C David Wright Department of Engineering University of Exeter (david.wright@exeter.ac.uk) Acknowledgements University of Exeter Yat-Yin Au, Jorge

More information

Reconfigurable Nano-Crossbar Architectures

Reconfigurable Nano-Crossbar Architectures Reconfigurable Nano-Crossbar Architectures Dmitri B. Strukov, Department of Electrical and Computer Engineering, University of Santa Barbara, USA Konstantin K. Likharev, Department of Physics and Astronomy,

More information

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration

A Two- Bit- per- Cycle Successive- Approximation ADC with Background Offset Calibration M. Casubolo, M. Grassi, A. Lombardi, F. Maloberti, P. Malcovati: "A Two-Bit-per- Cycle Successive-Approximation ADC with Background Calibration"; 15th IEEE Int. Conf. on Electronics, Circuits and Systems,

More information

Negative high voltage DC-DC converter using a New Cross-coupled Structure

Negative high voltage DC-DC converter using a New Cross-coupled Structure Negative high voltage DC-DC converter using a New Cross-coupled Structure Jun Zhao 1, Kyung Ki Kim 2 and Yong-Bin Kim 3 1 Marvell Technology, USA 2 Department of Electronic Engineering, Daegu University,

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

Analog Synaptic Behavior of a Silicon Nitride Memristor

Analog Synaptic Behavior of a Silicon Nitride Memristor Supporting Information Analog Synaptic Behavior of a Silicon Nitride Memristor Sungjun Kim, *, Hyungjin Kim, Sungmin Hwang, Min-Hwi Kim, Yao-Feng Chang,, and Byung-Gook Park *, Inter-university Semiconductor

More information

Parallel vs. Serial Inter-plane communication using TSVs

Parallel vs. Serial Inter-plane communication using TSVs Parallel vs. Serial Inter-plane communication using TSVs Somayyeh Rahimian Omam, Yusuf Leblebici and Giovanni De Micheli EPFL Lausanne, Switzerland Abstract 3-D integration is a promising prospect for

More information

A perspective on low-power, low-voltage supervisory circuits implemented with SOI technology.

A perspective on low-power, low-voltage supervisory circuits implemented with SOI technology. Silicon-On-Insulator A perspective on low-power, low-voltage supervisory circuits implemented with SOI technology. By Ondrej Subrt The magic term of SOI is attracting a lot of attention in the design of

More information

Charge recycling 8T SRAM design for low voltage robust operation

Charge recycling 8T SRAM design for low voltage robust operation Southern Illinois University Carbondale OpenSIUC Articles Department of Electrical and Computer Engineering Spring --0 Charge recycling T SRAM design for low voltage robust operation Xu Wang Shanghai Jiaotong

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

PCM progress report no. 7: A look at Samsung's 8-Gb array

PCM progress report no. 7: A look at Samsung's 8-Gb array PCM progress report no. 7: A look at Samsung's 8-Gb array Here's a discussion on the features of Samsung s 8-Gb array. By Ron Neale After Samsung s presentation [1] of their 8-Gb PRAM at ISSCC2012 and

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

Significant effort in the nanoelectronics field has been placed

Significant effort in the nanoelectronics field has been placed pubs.acs.org/nanolett Programmable Resistive-Switch Nanowire Transistor Logic Circuits Wooyoung Shim,,, Jun Yao,, and Charles M. Lieber*,, Department of Chemistry and Chemical Biology, Harvard University,

More information