Skewed CMOS: Noise-Tolerant High-Performance Low-Power Static Circuit Family

Size: px
Start display at page:

Download "Skewed CMOS: Noise-Tolerant High-Performance Low-Power Static Circuit Family"

Transcription

1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 4, AUGUST Skewed CMOS: Noise-Tolerant High-Performance Low-Power Static Circuit Family Alexandre Solomatnikov, Student Member, IEEE, Dinesh Somasekhar, Naran Sirisantana, Student Member, IEEE, and Kaushik Roy, Fellow, IEEE Abstract In this paper, we present a noise-tolerant high-performance static circuit family suitable for low-voltage operation called skewed logic. Skewed logic circuits in comparison with Domino logic have better scalability and they are more suitable for low voltage applications because of better noise margins. Skewed logic and its variations have been compared with Domino logic in terms of delay, power, and dynamic noise margin. A design methodology for skewed CMOS pipelined circuits has been developed. To demonstrate applicability of proposed logic style 0.35 m 5.56 ns CMOS bit multiplier has been designed using skewed logic circuits and fabricated through MOSIS. Measurement results show that the multiplier only consumed power of 195 mw due to low clock load. Index Terms Clocks, CMOS digital integrated circuits, design methodology, electromagnetic coupling, integrated circuit noise, synchronization. I. INTRODUCTION WITH advances in CMOS device technology both performance and power consumption of integrated circuits have improved dramatically. In very high performance designs, dynamic circuits like Domino ([1], [2]) are used due to their high speed. However, with continuing scaling of supply voltage and transistor threshold voltage it is more difficult to use Domino circuits because of their noise margin dependence on the threshold voltage variation [14]. This problem can be solved by using skewed logic circuits [3], [4]. Skewed circuits are fully complementary static logic circuits. The sizes of PMOS and NMOS transistors are adjusted to enable one of the transitions to be faster than the other. Changing the driving capabilities of PMOS and NMOS transistor networks is referred to as skewing. The same result can be achieved by using different supply voltages or transistors with different threshold voltages to speed up one of the transitions. In the last case the sizes of transistors need not be increased and hence the input capacitance and area are smaller at the expense of multiple power/ground lines or more complex technology process. Skewed logic gates have performance comparable to that of dynamic circuits, whereas the noise tolerance of skewed logic Manuscript received September 16, 2000; revised March 20, This work was sponsored in part by Semiconductor Research Corporation, GRANT 98-HJ-638) and in part by Intel Corporation. A. Solomatnikov is with the Department of Electrical Engineering, Stanford University, Stanford, CA USA ( sols@stanford.edu). D. Somasekhar is with the Circuits Research Laboratory, Intel Corporation, Portland, OR USA ( dinesh.somasekhar@intel.com). N. Sirisantana and K. Roy are with the Department of Electrical and Computer Engineering, Purdue University, West Lafayette, IN USA ( sirisant@ecn.purdue.edu; kaushik@ecn.purdue.edu). Digital Object Identifier /TVLSI is better because it has no floating nodes. The floating node in a Domino gate can be eliminated using a keeper device. However, the keeper cannot restore the correct state of the gate if a false transition occurs due to input glitch. Skewed logic allows a tradeoff between the delay of the gate and its noise margins. Because of higher noise tolerance skewed logic is better than Domino logic for high performance low voltage/low power applications. Similar to dynamic circuits skewed logic falls in the category of precharge-evaluate logic families. Fast transition is used for evaluation while slow transition can be used for precharge. The rest of the paper is organized as follows: Section II describes operation of skewed logic. Section III discusses pipelining with skewed logic. Two variations of skewed logic are described in Section IV. Section V compares the energy-delay results for static CMOS, Domino and different kinds of skewed logic. Section VI discusses a dynamic noise margin model and compares static CMOS, Domino, and skewed logic in terms of dynamic noise margin. Section VII describes a test chip with a skewed CMOS multiplier. II. SKEWED LOGIC Circuit topology of skewed logic gate is the same as that of classical static CMOS logic. Fig. 1(a) shows a NAND-NOR-NAND gates series connection. To speed up high-to-low transition, the sizes of NMOS transistors of the first NAND gate are increased and the sizes of PMOS transistors of pull-up network are decreased. For fast low-to-high transition the transistor widths of the NOR gate are changed in the opposite direction. The ratio of the worst case driving capabilities of pull-down and pull-up networks is called the skew. In order to achieve performance comparable to Domino circuits, the skewed gates should operate in two phases: precharge and evaluation. During precharge, all nodes are precharged to the initial state. During evaluation, the circuit performs useful work and only fast transitions can occur. To ensure that, the gate skewed for fast high-to-low transition should be followed by gate skewed for fast low-to-high transition and vice versa. An example of such a connection is shown in Fig. 1(a). Circuits shown in Fig. 1(b) and (c) should be used if fast precharge from the clock is necessary. Precharge of pipelined skewed circuits is further discussed in Section III. Skewing of a gate affects its performance in two ways: the trip point and the driving capabilities of the transistor networks change. Fig. 2 shows the dependence of the trip point for an inverter on the skew. We used models for 0.25 m CMOS technology with of approximately 0.5 V for both NMOS and /02$ IEEE

2 470 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 4, AUGUST 2002 Fig. 1. Circuit topology and gate connection. (a) Example of skewed logic circuit. (b) Skewed NAND gate with fast precharge to Vdd. (c) Skewed NAND gate with fast precharge to ground. Fig. 2. Inverter trip-point dependence on the skew. Fig. 3. NAND gate-delay dependence on the skew. PMOS transistors with 2.5 V supply voltage ( ). The skew of 1 corresponds to the case when the ratio between PMOS and NMOS transistor sizes is equal to 2. Delay dependence of both fast and slow transitions on the skew is shown in Fig. 3 for a NAND gate that is skewed for fast falling transition. Skew of 1 corresponds to the case where the gate has equal worst case high-to-low and low-to-high delays in the standard static CMOS logic mode, in which the worst-case low-to-high transition of NAND gate has a single PMOS device activated. However, the delays shown in Fig. 3 correspond to a NAND gate operated in a precharge/evaluate fashion when the precharge transition has every PMOS transistor activated. Because of that the precharge delay of the skewed NAND gate is less than the worst-case delay of rising transition of static CMOS gate. III. PIPELINING WITH SKEWED LOGIC A pipeline with skewed CMOS circuits can be synthesized following the same procedure as in Domino logic [5]. Fig. 4 shows a basic pipeline structure. The logic of each pipeline stage is divided into two blocks separated by latches. During the first half of the cycle, when the clock is high, logic block 1 is evaluating while latch A holds data. At the same time, logic block 2 is Fig. 4. Basic pipeline structure. being precharged and latch B is transparent. During the second half of the cycle the situation is just the opposite. This technique allows propagation of data without waiting for the precharge of the next stage. In such a pipeline, precharge, and evaluation delays of each logic block should be less than a half cycle. In the case of Domino or noise tolerant precharge (NTP) circuits (NTP circuit is shown in Fig. 1(b) with dashed inverter) [6], [7] it is easy to achieve short precharge delay because each gate is connected to the clock signal. However, in the more general case of skewed logic, which as a class of circuits includes NTP circuits, not all gates should be connected to the clock. Fig. 5 shows a logic block structure for skewed logic. In this figure the fast transition directions are designated by arrows. The gates connected to the clock have structure similar to the NTP circuits. Topology of gate 1 and 7 is shown in Fig. 1(c).

3 SOLOMATNIKOV et al.: SKEWED CMOS: NOISE-TOLERANT HIGH-PERFORMANCE LOW-POWER STATIC CIRCUIT FAMILY 471 Fig. 5. Logic block structure. Fig. 7. Multi-V skewed circuit. Fig. 6. Waveforms for circuit in Fig. 4. Circuit structure of gate 4 is shown in Fig. 1(b) without dashed inverter. In this example, we assume that the fast (evaluation) transition delay of all gates is and that the slow (precharge) transition is three times longer (3 ). We assume also that the delay from the clock ( ) of the gate connected to the clock is no greater than 3. The sizing for such skew is shown in Fig. 1. Waveforms on the outputs of the gates are shown in Fig. 6. Precharge of first, fourth, and seventh gates starts immediately after the falling edge of the clock. Hence, the precharge delay is less than half cycle. Such a technique reduces the number of gates connected to the clock in the skewed logic circuit in comparison with Domino and NTP circuits. Therefore, skewed circuits have lower clock capacitance and lower clock power consumption. Moreover, they draw a lower peak current from the power supply. Also reduction in the number of gates connected to the clock can improve the circuit performance: gates, which are not connected to the clock, are faster than those connected to the clock because they have fewer inputs and fewer transistors connected in series. Another advantage is the precharge process being more evenly distributed over time. Unlike Domino or NTP, not all skewed gates are precharged simultaneously after clock edge. This can be seen from the above example (Figs. 5 and 6). In the beginning of the precharge half cycle only three out of nine gates are precharged. The second gate is precharged only after the precharge of the first gate is completed and so on. Distributed precharge process further reduces peak current and it simplifies physical design. IV. MULTI AND MULTI SKEWED CIRCUITS Gate trip point and transistor network driving capability can be changed by using lower threshold voltage ( ) devices in the MOS network which supports faster transition while the higher devices can be used elsewhere. For example, the gate skewed for fast high-to-low transition should have low- NMOS transistors and high- PMOS transistors. Gate properties are affected at the cost of an increased process complexity. The same effects may be realized by using separate power supply and ground lines for the gates as shown in Fig. 7. Gates with fast low to high transition are connected to -high and -high while gates with fast high to low transition are connected to -low and -low. Gate trip point remains the same for single gate but changes relatively between any two connected gates since such gates must be connected to different power/ground lines. Output voltage swing is reduced in comparison with single power supply case without reduction of gatesource voltage of transistors in the fast network. Although gate speed is improved without increase of transistor sizes and input capacitance, the improvement is obtained at the expense of noise margin as well as increase of leakage power consumption when the gate is in the precharge state. At the same time dynamic power consumption is reduced because of smaller input capacitance and output voltage swing. V. ENERGY-DELAY COMPARISONS In order to evaluate different circuits families, delay, and energy per transition are measured. Various points are obtained by changing the overall gate size. Static CMOS gates have optimum (in terms of energy-delay product) ratio of about 1.5 between PMOS and NMOS transistor sizes [3]. Domino gates have a fixed keeper transistor size to pull-down network transistor size ratio of 1/6. This ratio is chosen for dynamic logic because very little change in delay or power is observed with smaller ratios. Fig. 8 compares the energy per transition, which includes precharge energy, versus delay for two cascaded two input NAND circuits forming AND-OR structure. This structure drives another NAND gate of the same size as a load. The plot for static CMOS gates is obtained at the optimum sizing. Similar plots are obtained for Domino gates, which consist of a dynamic inverter followed by a skewed (skew ratio 4) static inverter for both Domino gates with and without footer [8], [13]. However, footless Domino requires additional clock generation with addi-

4 472 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 4, AUGUST 2002 Fig. 8. AND-OR energy versus delay. Fig. 10. Leakage current versus skew at room temperature. Fig. 9. Total and short circuit energy versus skew. Fig. 11. Multi-V AND-OR energy versus delay. tional power overhead that cannot be taken into account in this simulation. The curves for skewed gates show fast gate delay versus energy dissipation. They are obtained by varying the skew of the gates while keeping the sum of transistor widths constant. Three curves at different total widths are plotted with the skew being varied from 1 to 5. Substantial improvement in delay is obtained without compromising the energy per transition. Skewing reduces short circuit power of the gate. To simulate and measure short circuit power we used a method similar to the method described in [9]. Fig. 9 shows that short circuit energy per transition (evaluation-precharge) of the same cascaded NAND gates reduces by about 40% when skew changes from 1to5. As shown in Fig. 10 leakage current increases with skew in the precharge state and decreases in the other state. The average leakage current will depend on the probability of each state of the gate. In general, precharge state is more likely to occur because the gate may not switch during evaluation phase. The simulation results for AND-OR multi- gates are shown in Fig. 11. One curve (solid line) shows the dependence of energy-delay on supply voltage difference ( ) with base of 2.5 V for the case when the transistor sizes are constant (skew is equal to 1). The results for simple skewed circuit with skew of 1 and for multi- circuit with equal to zero coincide. Second type of curves (dashed lines) for multi- gates show the dependencies on transistor size skewing with skew varying from 1 to 5 for constant voltage differentials between the supply lines when overall transistor sizes and total area remain the same. The curve for multi- gates with and the curve for the skewed gate at coincide. Increasing gives linear gains in both energy per transition and delay because of decrease of output voltage swing of the gate. Up to 30% delay and energy reduction is possible. In contrast the increase of reduces the drive of the MOSFET which is responsible for the precharge transition. Consequently, the speed degradation is greater for slow transition than the improvement for fast transition.

5 SOLOMATNIKOV et al.: SKEWED CMOS: NOISE-TOLERANT HIGH-PERFORMANCE LOW-POWER STATIC CIRCUIT FAMILY 473 Fig. 13. Coupling noise in circuits. Fig. 12. Multi-V AND-OR energy versus delay. In the precharge state multi- gates have higher leakage current because gate-source voltage is not equal to zero. Another drawback is the reduction in noise margin. Similar to multi- circuits two types of curves are plotted for multi- gates in Fig. 12. Solid line depicts dependence of delay and energy on the difference between the threshold voltages of fast and slow transistor networks. Dashed lines show the dependencies on transistor size skewing with skew varying from 1 to 5 for constant voltage differences in threshold voltages. The curve for multi- gates with and the curve for the skewed gate at coincide. Transistor threshold reduction results in the same delay improvement as supply voltage difference. However, power consumption of the multi- gate does not differ much form simple skewed gate because output voltage swing does not change. Multi- circuits have the same disadvantages as multigates, i.e., high leakage power in the precharged state and reduced noise margin, although their noise margin is better than that of multi-. VI. DYNAMIC NOISE MARGIN Because of high gain of complementary CMOS circuits static noise margin of skewed circuits the point where the gain is unity is close to the input trip point voltage. The dependency of the trip point of an inverter on the skew is shown in Fig. 2. Such a dependency provides a tradeoff between the noise margin and fast transition time as the skew changes. For high-performance precharge-evaluate circuit, static noise margin may not be the only metric for measuring the gate robustness. Static noise margins are important for rejecting voltage offset created on the output nodes due to leakage and noise on power supply lines. However, it does not adequately address the problem of capacitive coupling noise [3], [10]. In order to evaluate coupling noise we used dynamic noise margin model proposed in [3], [10]. In this section we present a circuit structure that is used to evaluate the robustness of a gate. Fig. 13 shows the circuit structure with coupling noise. An aggressor gate forces a transition from high to low on its Fig. 14. Peak noise voltage on the victim and affected nodes. output. This transition is coupled from the aggressor to a victim node driven by gate. The output of gate must be high for a noise problem to occur. The strength of the noise spike at the victim node is dependent on coupling coefficient. The amplitude of the spike also depends on the rise or the fall time of the aggressor and the drive strength of gate. The noise voltage induced at the output of victim gate by itself does not cause a failure until it is propagated across affected gate. If the output voltage of rises above the noise threshold determined by static noise margin of succeeding gate,we assume that a failure in functionality occurs. We evaluate the dynamic noise margin of standard static CMOS circuits, Domino, skewed multi- and multigates for simple inverters. The same HSPICE models for 0.25 m CMOS technology with 2.5 V supply voltage were used for simulation. The peak voltage of coupling noise on the victim node is shown in the left part of Fig. 14. The left chart shows maximum voltage of coupling spike for high-to-low transition. In the case of Domino logic the left chart shows an effect of coupling to

6 474 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 4, AUGUST 2002 the dynamic node. For skewed gates this corresponds to gates which are skewed for fast falling transition. Peak voltages versus coupling coefficient are obtained under assumption that the total victim capacitance is constant at 25 ff. Domino, skewed, multi-, and multi- gates are assumed to be precharged when the aggressor transition occurs. A skew of four is imposed on the skewed gates and Domino output inverter, whereas keeper is sized to have a size of a sixth of the pull-down network of Domino gates. Supply voltage difference for multi- gates is equal to 0.4 V. In multi- gates high- NMOS and PMOS transistors have threshold voltages equal to 0.54 V and 0.52 V, respectively while threshold voltages of low- transistors are 0.14 V and 0.12 V. All gates have similar average transistor size of 2.0 m. Left chart in Fig. 14 also shows the static noise margins of the respective affected gate as thin horizontal lines. Static noise margins for Domino and skewed gates on the left chart coincide. All voltages are expressed with reference to the victim node voltage in steady state. It is clear that a stronger drive provided on the victim node decreases the strength of the spike for static gates. Very high values of ff are required before the trip voltage of the affected gate is crossed. The left chart shows that Domino exhibits slightly higher peak voltage than the skewed gates primarily because the victim node is very weakly driven by a keeper device. A coupling capacitance of 22 ff is needed for the static noise margin to be exceeded for Domino, while must exceed 26 ff for the case of skewed logic. The peak noise voltages at the output of the affected gate are shown in the right chart of Fig. 14. Simulation conditions are identical to those in previous figure. The static noise margins of the respective succeeding gate ( in Fig. 13) are shown as thin horizontal lines. Static CMOS gates clearly offer very high degree of noise tolerance. A failure occurs only when ff. values greater than 28 ff cause a failure on the input of affected Domino gate in the case of low-to-high noise spike on the affected node. In comparison skewed gates need ff to cause a failure. The higher performance and lower power consumption of multi- gates are obtained at the expense of reduced noise margins: ff causes a failure. Reduction of threshold voltage in multi- gates also decreases dynamic noise margin of the gate but dynamic noise margin of multi- gate is better than that of multi- gates: ff can cause a failure. The results for multi- gates show that skewed logic gates can be robust even in the case of lowered threshold voltage. Fig. 15. Block diagram of the multiplier. VII. SKEWED LOGIC MULTIPLIER TEST CHIP In order to check applicability of skewed logic circuits, we designed and fabricated through MOSIS a test chip with bit multiplier using design rules for 0.35 m CMOS technology with 3.3 V supply voltage. The multiplier, which block diagram is shown in Fig. 15, consists of a Booth encoder, a Wallace tree and the final adder. The latency of multiplier is one cycle. Fig. 16. Test-chip photo. During the first half cycle the Booth encoder produces partial products and Wallace tree sums the partial products. Final summation is performed in the second half cycle by the final carry select adder. Test-chip photo is shown in Fig. 16. Measured

7 SOLOMATNIKOV et al.: SKEWED CMOS: NOISE-TOLERANT HIGH-PERFORMANCE LOW-POWER STATIC CIRCUIT FAMILY 475 TABLE I MULTIPLIER MEASUREMENT RESULTS results are summarized in Table I. All data are presented for highest possible clock rate. Cells connected to the clock are designated in Fig. 15 by arrows. Out of 386 logic cells only 116 are connected to the clock. This confirms that skewed circuits have lower clock load and power dissipation than Domino circuits. [9] A. Alvandpour, P. Larsson-Edefors, and C. Svensson, Separation and extraction of short-circuit power consumption in digital CMOS VLSI circuits, in Proc. IEEE Int. Symp. Low Power Electronics and Design, Monterey, CA, Aug. 1998, pp [10] D. Somasekhar, S. H. Choi, K. Roy, Y. Ye, and V. De, Dynamic noise analysis in precharge-evaluate circuits, in Proc. Design Automation Conf. 00, Anaheim, CA, June 2000, pp [11] A. Solomatnikov, D. Somasekhar, and K. Roy, Skewed CMOS: Noise-immune high-performance low-power static circuit family, in Proc. Eur. Solid-State Circuits Conf. (ESSCIRC 00), Stockholm, Sweden, Sept. 2000, pp [12] T. Ghani, K. Mistry, P. Packan, S. Thompson, M. Stettler, S. Tyagi, and M. Bohr, Scaling challenges and device design requirements for high performance sub-50 nm gate length planar CMOS transistors, in Proc Symp. VLSI Technology Dig. Tech. Papers, Honolulu, HI, June 2000, pp [13] D. Harris, Skew-Tolerant Circuit Design. New York: Morgan Kaufmann, [14] M. Bohr, MOS transistors: Scaling and performance trends, Semiconductor Int., vol. 18, no. 6, p. 75, 76, 78, 80, June VIII. CONCLUSION This paper describes a new noise tolerant high-performance low-power skewed static logic circuit family and its variations. Skewed circuits have better noise tolerance than Domino circuits while the performance of skewed logic is approximately comparable to that of Domino. Another advantage of skewed logic is reduced clock capacitance, clock power dissipation, and reduced peak current of power supply/ground lines. These characteristics make skewed CMOS very promising for high performance low-power/low-voltage design. Alex Solomatnikov (S 02) received the B.S. and M.S. degrees from the Moscow Institute of Physics and Technology, Moscow, Russia, in 1997 and 1998, respectively. He is currently working toward the Ph.D. degree in the Department of Electrical Engineering, Stanford University, Stanford, CA. From August 1999 to September 2000, he was with the Electrical and Computer Engineering Department of Purdue University, West Lafayette, IN, where he received the Birck Graduate Fellowship. From 1997 to 1999, he was with Moscow Center of SPARC Technologies, Russia, where he was involved in the design of low-voltage CMOS circuits, design of cache memory for VLIW microprocessor, and memory compiler design. His current research interests are VLSI design, microprocessor design, and reconfigurable computer architectures. ACKNOWLEDGMENT The authors would like to thank L. Parvizi for helping with layout design. This work was done while the authors were at Purdue University. REFERENCES [1] R. H. Krambeck et al., High-speed compact circuits with CMOS, IEEE J. Solid-State Circuits, vol. SSC-17, pp , June [2] N. F. Goncalves and H. J. Mari, NORA: A race-free dynamic CMOS technique for pipelined logic structure, IEEE J. Solid-State Circuits, vol. SSC-18, pp , June [3] D. Somasekhar, Power and Dynamic Noise Considerations in High Performance CMOS VLSI Design, Ph.D., Purdue University, West Lafayette, IN, [4] T. Thorp, G. Yee, and C. Sechen, Monotonic static CMSO and dual V technology, in Proc. IEEE Int. Symp. Low Power Electronics and Design, San Diego, CA, June 1999, pp [5] D. W. Dobberpuhl et al., 200-MHz 64-bit dual-issue CMOS microprocessor, Digital Tech. J., vol. 4, no. 4, pp. 1 19, [6] F. Murabayashi et al., 2.5 V CMOS circuit design technique for a 200 MHz superscalar RISC processor, IEEE J. Solid-State Circuits, vol. 31, pp , July [7] H. Yamada et al., A 13.3 ns double-precision floating-point ALU and multiplier, in Proc. IEEE Int. Conf. Computer Design: VLSI in Computers and Processors, Austin, TX, Oct [8] D. Harris and M. Horowitz, Skew-tolerant domino circuits, IEEE J. Solid-State Circuits, vol. 32, pp , Nov Dinesh Somasekhar received the B.S. degree in electronics (with honors) from Maharaja Sarajirao University of Baroda, India, in 1989, the M.S. degree in electrical communication engineering from the Indian Institute of Science, Bangalore, India, in 1991, and the Ph.D. degree from the Department of Electrical and Computer Engineering, Purdue University, West Lafayette, IN, in He is now with Circuits Research Laboratory, Intel Corporation, Portland, OR. His research interests include low-power high-performance integrated circuit design, leakage reduction techniques for CMOS VLSI, arithmetic unit architectures, and asynchronous design methodologies. VLSI design. Naran Sirisantana (S 99) received the B.Eng. degree in electrical engineering (first-class honors) from Chulalongkorn University, Bangkok, Thailand, in 1998 and the M.S. degree in electrical engineering from Purdue University, West Lafayette, IN, in He is currently working toward the Ph.D. degree in electrical engineering at Purdue University. He is a Hewlett-Packard SRC Research Fellow. He has interned at Intronics Co., Ltd., Bangkok, and at Intel Corporation, Portland, OR. His research interest is in the area of low-power high-performance

8 476 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 4, AUGUST 2002 Kaushik Roy (S 83 M 90 SM 95 F 02) received the B.Tech. degree in electronics and electrical communications engineering from the Indian Institute of Technology, Kharagpur, India, in 1983 and the Ph.D. degree from the Electrical and Computer Engineering Department, University of Illinois at Urbana-Champaign, in He was with the Semiconductor Process and Design Center of Texas Instruments, Dallas, TX, where he worked on field-programmable gate arrays (FPGA) architecture development and low-power circuit design. He joined the Electrical and Computer Engineering Faculty at Purdue University, West Lafayette, IN, in 1993, where he is currently a Purdue University Faculty Scholar Professor. He has published more than 200 papers in refereed journals and conferences, holds five patents, and is coauthor of Low Power CMOS VLSI Design (New York: Wiley, 2000). His research interests include VLSI design/cad with particular emphasis in low-power electronics for portable computing and wireless communications, VLSI testing, and verification and reconfigurable computing. Dr. Roy received the National Science Foundation Career Development Award in 1995, the IBM Faculty Partnership Award, the ATT/Lucent Foundation Award, the Best Paper Awards at the 1997 International Test Conference and 2000 International Symposium on Quality of IC Design. He is on the editorial board of IEEE DESIGN AND TEST, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS, and IEEE TRANSACTIONS ON VLSI SYSTEMS. He was guest editor for a Special Issue on Low-Power VLSI in the IEEE DESIGN AND TEST (1994) and in the IEEE TRANSACTIONS ON VLSI SYSTEMS (June 2000).

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

A Novel Approach for High Speed and Low Power 4-Bit Multiplier IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 3 (Nov. - Dec. 2012), PP 13-26 A Novel Approach for High Speed and Low Power 4-Bit Multiplier

More information

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic M.Manikandan 2,Rajasri 2,A.Bharathi 3 Assistant Professor, IFET College of Engineering, Villupuram, india 1 M.E,

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2190 Biquad Infinite Impulse Response Filter Using High Efficiency Charge Recovery Logic K.Surya 1, K.Chinnusamy

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders B. Madhuri Dr.R. Prabhakar, M.Tech, Ph.D. bmadhusingh16@gmail.com rpr612@gmail.com M.Tech (VLSI&Embedded System Design) Vice

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm

Design and Implement of Low Power Consumption SRAM Based on Single Port Sense Amplifier in 65 nm Journal of Computer and Communications, 2015, 3, 164-168 Published Online November 2015 in SciRes. http://www.scirp.org/journal/jcc http://dx.doi.org/10.4236/jcc.2015.311026 Design and Implement of Low

More information

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic

Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge Recovery Logic ISSN (e): 2250 3005 Volume, 08 Issue, 9 Sepetember 2018 International Journal of Computational Engineering Research (IJCER) Design and Analysis of Energy Efficient MOS Digital Library Cell Based on Charge

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

A HIGH SPEED DYNAMIC RIPPLE CARRY ADDER

A HIGH SPEED DYNAMIC RIPPLE CARRY ADDER A HIGH SPEED DYNAMIC RIPPLE CARRY ADDER Y. Anil Kumar 1, M. Satyanarayana 2 1 Student, Department of ECE, MVGR College of Engineering, India. 2 Associate Professor, Department of ECE, MVGR College of Engineering,

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits

Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits Design of 32-bit ALU using Low Power Energy Efficient Full Adder Circuits Priyadarshini.V Department of ECE Gudlavalleru Engieering College,Gudlavalleru darshiniv708@gmail.com Ramya.P Department of ECE

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Unique Journal of Engineering and Advanced Sciences Available online: Research Article

Unique Journal of Engineering and Advanced Sciences Available online:   Research Article ISSN 2348-375X Unique Journal of Engineering and Advanced Sciences Available online: www.ujconline.net Research Article WIDE FAN-IN GATES FOR COMBINATIONAL CIRCUITS USING CCD Mekala S 1 *, Meenakanimozhi

More information

Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits

Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits Reduced Swing Domino Techniques for Low Power and High Performance Arithmetic Circuits by Shahrzad Naraghi A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for

More information

Implementation of High Performance Carry Save Adder Using Domino Logic

Implementation of High Performance Carry Save Adder Using Domino Logic Page 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha 1, Daka Lakshmi 2, M.Gokula Lakshmi 3, S.Kiruthiga 4 and K.Kaviya 5 1 Assistant Professor, Department of ECE,

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1 Dynamic Logic Dynamic Circuits will be introduced and their performance in terms of power, area, delay, energy and AT 2 will be reviewed. We will review the following logic families: Domino logic P-E logic

More information

Wide Fan-In Gates for Combinational Circuits Using CCD

Wide Fan-In Gates for Combinational Circuits Using CCD Wide Fan-In Gates for Combinational Circuits Using CCD Mekala.S Post Graduate Scholar, Nandha Engineering College, Erode, Tamil Nadu, India Abstract: A new domino circuit is proposed with low leakage and

More information

nmos, pmos - Enhancement and depletion MOSFET, threshold voltage, body effect

nmos, pmos - Enhancement and depletion MOSFET, threshold voltage, body effect COURSE DELIVERY PLAN - THEORY Page! 1 of! 7 Department of Electronics and Communication Engineering B.E/B.Tech/M.E/M.Tech : EC Regulation: 2016(Autonomous) PG Specialization : Not Applicable Sub. Code

More information

t Microprocessor Research Laboratories, Intel Corporation, Hillsboro, OR

t Microprocessor Research Laboratories, Intel Corporation, Hillsboro, OR AN ENERGY-EFFICIENT LEAKAGE-TOLERANT DYNAMIC CIRCUIT TECHNIQUE Lei Wang, Ram K. Krishnamurthyt, K. Soumyanatht, and Naresh R. Shanbhag Coordinated Science Laboratory, Department of Electrical and Computer

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC 1 S.Varalakshmi, 2 M. Rajmohan, M.Tech, 3 P. Pandiaraj, M.Tech 1 M.Tech Department of ECE, 2, 3 Asst.Professor, Department of ECE, 1,

More information

Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor

Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor Leakage Power Reduction in 5-Bit Full Adder using Keeper & Footer Transistor Narendra Yadav 1, Vipin Kumar Gupta 2 1 Department of Electronics and Communication, Gyan Vihar University, Jaipur, Rajasthan,

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates R Ravikumar Department of Micro and Nano Electronics, VIT University, Vellore, India ravi10ee052@hotmail.com

More information

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES PSowmya #1, Pia Sarah George #2, Samyuktha T #3, Nikita Grover #4, Mrs Manurathi *1 # BTech,Electronics and Communication,Karunya

More information

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 Asst. Professsor, Anurag group of institutions 2,3,4 UG scholar,

More information

The Twin-Transistor Noise-Tolerant Dynamic Circuit Technique

The Twin-Transistor Noise-Tolerant Dynamic Circuit Technique IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 2, FEBRUARY 2001 273 The Twin-Transistor Noise-Tolerant Dynamic Circuit Technique Ganesh Balamurugan, Member, IEEE, and Naresh R. Shanbhag, Senior Member,

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

EEC 118 Lecture #12: Dynamic Logic

EEC 118 Lecture #12: Dynamic Logic EEC 118 Lecture #12: Dynamic Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Today: Alternative MOS Logic Styles Dynamic MOS Logic Circuits: Rabaey

More information

IJMIE Volume 2, Issue 3 ISSN:

IJMIE Volume 2, Issue 3 ISSN: IJMIE Volume 2, Issue 3 ISSN: 2249-0558 VLSI DESIGN OF LOW POWER HIGH SPEED DOMINO LOGIC Ms. Rakhi R. Agrawal* Dr. S. A. Ladhake** Abstract: Simple to implement, low cost designs in CMOS Domino logic are

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Comparison of Multiplier Design with Various Full Adders

Comparison of Multiplier Design with Various Full Adders Comparison of Multiplier Design with Various Full s Aruna Devi S 1, Akshaya V 2, Elamathi K 3 1,2,3Assistant Professor, Dept. of Electronics and Communication Engineering, College, Tamil Nadu, India ---------------------------------------------------------------------***----------------------------------------------------------------------

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Design and Analysis of CMOS Based DADDA Multiplier

Design and Analysis of CMOS Based DADDA Multiplier www..org Design and Analysis of CMOS Based DADDA Multiplier 12 P. Samundiswary 1, K. Anitha 2 1 Department of Electronics Engineering, Pondicherry University, Puducherry, India 2 Department of Electronics

More information

STATIC cmos circuits are used for the vast majority of logic

STATIC cmos circuits are used for the vast majority of logic 176 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 2, FEBRUARY 2017 Design of Low-Power High-Performance 2 4 and 4 16 Mixed-Logic Line Decoders Dimitrios Balobas and Nikos Konofaos

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer G.Bramhini M.Tech (VLSI), Vidya Jyothi Institute of Technology. G.Ravi Kumar, M.Tech Assistant Professor, Vidya Jyothi Institute of

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

Comparative Analysis of Low Power Adiabatic Logic Circuits in DSM Technology

Comparative Analysis of Low Power Adiabatic Logic Circuits in DSM Technology Comparative Analysis of Low Power Adiabatic Logic Circuits in DSM Technology Shaefali Dixit #1, Ashish Raghuwanshi #2, # PG Student [VLSI], Dept. of ECE, IES college of Eng. Bhopal, RGPV Bhopal, M.P. dia

More information

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE Abstract Employing

More information

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.5.577 ISSN(Online) 2233-4866 Low and High Performance Level-up Shifters

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 COMPARATIVE

More information

the cascading of two stages in CMOS domino logic[7,8]. The operating period of a cell when its input clock and output are low is called the precharge

the cascading of two stages in CMOS domino logic[7,8]. The operating period of a cell when its input clock and output are low is called the precharge 1.5v,.18u Area Efficient 32 Bit Adder using 4T XOR and Modified Manchester Carry Chain Ajith Ravindran FACTS ELCi Electronics and Communication Engineering Saintgits College of Engineering, Kottayam Kerala,

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN Mr. Sunil Jadhav 1, Prof. Sachin Borse 2 1 Student (M.E. Digital Signal Processing), Late G. N. Sapkal College of Engineering, Nashik,jsunile@gmail.com 2 Professor

More information

Implementation of Low Power High Speed Full Adder Using GDI Mux

Implementation of Low Power High Speed Full Adder Using GDI Mux Implementation of Low Power High Speed Full Adder Using GDI Mux Thanuja Kummuru M.Tech Student Department of ECE Audisankara College of Engineering and Technology. Abstract The binary adder is the critical

More information

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop Indian Journal of Science and Technology, Vol 8(7), 622 628, April 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 DOI: 10.17485/ijst/2015/v8i7/62847 A High Performance Asynchronous Counter using

More information

An Efficient SQRT Architecture of Carry Select Adder Design by HA and Common Boolean Logic PinnikaVenkateswarlu 1, Ragutla Kalpana 2

An Efficient SQRT Architecture of Carry Select Adder Design by HA and Common Boolean Logic PinnikaVenkateswarlu 1, Ragutla Kalpana 2 An Efficient SQRT Architecture of Carry Select Adder Design by HA and Common Boolean Logic PinnikaVenkateswarlu 1, Ragutla Kalpana 2 1 M.Tech student, ECE, Sri Indu College of Engineering and Technology,

More information

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY

INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY INTERNATIONAL JOURNAL OF PURE AND APPLIED RESEARCH IN ENGINEERING AND TECHNOLOGY A PATH FOR HORIZING YOUR INNOVATIVE WORK DESIGN OF LOW POWER MULTIPLIERS USING APPROXIMATE ADDER MR. PAWAN SONWANE 1, DR.

More information

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER

DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER DESIGN OF PARALLEL MULTIPLIERS USING HIGH SPEED ADDER Mr. M. Prakash Mr. S. Karthick Ms. C Suba PG Scholar, Department of ECE, BannariAmman Institute of Technology, Sathyamangalam, T.N, India 1, 3 Assistant

More information

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 7. Clocked and self-resetting logic I

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 7. Clocked and self-resetting logic I EE E6930 Advanced Digital Integrated Circuits Spring, 2002 Lecture 7. Clocked and self-resetting logic I References CBF, Chapter 8 DP, Section 4.3.3.1-4.3.3.4 Bernstein, High-speed CMOS design styles,

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 77-81 International Research Publication House http://www.irphouse.com Noise Tolerance Dynamic CMOS Logic

More information

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-30nm CMOS Technologies Bhaskar Chatterjee, Manoj Sachdev Ram Krishnamurthy * Department of Electrical and Computer

More information

An Energy-Efficient Noise-Tolerant Dynamic Circuit Technique

An Energy-Efficient Noise-Tolerant Dynamic Circuit Technique 1300 IEEE RANSACIONS ON CIRCUIS AND SYSEMS II: ANALOG AND DIGIAL SIGNAL PROCESSING, VOL. 47, NO. 11, NOVEMBER 000 REFERENCES [1] A. P. Chandrakasan and R. W. Brodersen, Eds., Low Power Digital CMOS Design.

More information

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic Scientific Journal of Impact Factor(SJIF): 3.134 International Journal of Advance Engineering and Research Development Volume 2,Issue 3, March -2015 e-issn(o): 2348-4470 p-issn(p): 2348-6406 Sophisticated

More information

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 1

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 1 Design Of Low Power Approximate Mirror Adder Sasikala.M 1, Dr.G.K.D.Prasanna Venkatesan 2 ME VLSI student 1, Vice Principal, Professor and Head/ECE 2 PGP college of Engineering and Technology Nammakkal,

More information

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles

Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles Design of Robust and power Efficient 8-Bit Ripple Carry Adder using Different Logic Styles Mangayarkkarasi M 1, Joseph Gladwin S 2 1 Assistant Professor, 2 Associate Professor 12 Department of ECE 1 Sri

More information

Design and Analysis of CMOS based Low Power Carry Select Full Adder

Design and Analysis of CMOS based Low Power Carry Select Full Adder Design and Analysis of CMOS based Low Power Carry Select Full Adder Mayank Sharma 1, Himanshu Prakash Rajput 2 1 Department of Electronics & Communication Engineering Hindustan College of Science & Technology,

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

A Three-Port Adiabatic Register File Suitable for Embedded Applications

A Three-Port Adiabatic Register File Suitable for Embedded Applications A Three-Port Adiabatic Register File Suitable for Embedded Applications Stephen Avery University of New South Wales s.avery@computer.org Marwan Jabri University of Sydney marwan@sedal.usyd.edu.au Abstract

More information

Low-Power Multipliers with Data Wordlength Reduction

Low-Power Multipliers with Data Wordlength Reduction Low-Power Multipliers with Data Wordlength Reduction Kyungtae Han, Brian L. Evans, and Earl E. Swartzlander, Jr. Dept. of Electrical and Computer Engineering The University of Texas at Austin Austin, TX

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 1, July 2013

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 1, July 2013 Power Scaling in CMOS Circuits by Dual- Threshold Voltage Technique P.Sreenivasulu, P.khadar khan, Dr. K.Srinivasa Rao, Dr. A.Vinaya babu 1 Research Scholar, ECE Department, JNTU Kakinada, A.P, INDIA.

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design International Conference on Multidisciplinary Research & Practice P a g e 625 Comparison of High Speed & Low Power Techniques & in Full Adder Design Shikha Sharma 1, ECE, Geetanjali Institute of Technical

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

Comparison of Power Dissipation in inverter using SVL Techniques

Comparison of Power Dissipation in inverter using SVL Techniques Comparison of Power Dissipation in inverter using SVL Techniques K. Kalai Selvi Assistant Professor, Dept. of Electronics & Communication Engineering, Government College of Engineering, Tirunelveli, India

More information

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells Reza Faghih Mirzaee, Mohammad Hossein Moaiyeri, Keivan Navi Abstract In this paper we present two novel 1-bit full adder cells in dynamic logic

More information

IN NANOSCALE CMOS devices, the random variations in

IN NANOSCALE CMOS devices, the random variations in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 9, SEPTEMBER 2005 1787 Estimation of Delay Variations due to Random-Dopant Fluctuations in Nanoscale CMOS Circuits Hamid Mahmoodi, Student Member, IEEE,

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July-2015 636 Low Power Consumption exemplified using XOR Gate via different logic styles Harshita Mittal, Shubham Budhiraja

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 6 Combinational CMOS Circuit and Logic Design Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Advanced Reliable Systems (ARES) Lab. Jin-Fu Li,

More information

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. II (Nov -Dec. 2015), PP 06-15 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org High-Performance of Domino Logic

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc

Cmos Full Adder and Multiplexer Based Encoder for Low Resolution Flash Adc IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 2, Ver. II (Mar.-Apr. 2017), PP 20-27 www.iosrjournals.org Cmos Full Adder and

More information

Performance Comparison of VLSI Adders Using Logical Effort 1

Performance Comparison of VLSI Adders Using Logical Effort 1 Performance Comparison of VLSI Adders Using Logical Effort 1 Hoang Q. Dao and Vojin G. Oklobdzija Advanced Computer System Engineering Laboratory Department of Electrical and Computer Engineering University

More information

Design and Implementation of ALU Chip using D3L Logic and Ancient Mathematics

Design and Implementation of ALU Chip using D3L Logic and Ancient Mathematics Design and Implementation of ALU Chip using D3L and Ancient Mathematics Mohanarangan S PG Student (M.E-Applied Electronics) Department of Electronics and Communicaiton Engineering Sri Venkateswara College

More information