Modeling and Optimized Design of Current Mode MUX/XOR and D Flip-Flop

Size: px
Start display at page:

Download "Modeling and Optimized Design of Current Mode MUX/XOR and D Flip-Flop"

Transcription

1 452 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 47, NO. 5, MAY 2000 Modeling and Optimized Design of Current Mode MUX/XOR and D Flip-Flop M. Alioto and G. Palumbo, Senior Member, IEEE Abstract This paper deals with current mode logic (CML) and, in particular, models and optimized design strategies for MUX, XOR, and D flip-flop are presented. Both simple and accurate models for propagation delay are proposed. The models represent propagation delay with a few terms, providing a better insight into the relationship between delay and its electrical parameters, which in turn are related to process parameters. The main difference between accurate and simple models is that the former need only a few SPICE simulations to properly evaluate model parameters. The simple models show errors which are always lower than 20%, while accurate models have typical errors of 2%. Design optimization is in terms of bias currents giving minimum propagation delay, and it has been demonstrated that at the cost of a 10% increase in propagation delay we can reduce power dissipation by 40%. The models and design strategies are validated using both a traditional and a high-speed bipolar process which have a transition frequency equal to 6 and 20 GHz, respectively. Index Terms Bipolar logic device, bipolar transistor circuits, current-mode logic, digital integrated circuits, emitter-coupled logic, high-speed integrated circuits, MUX, switching circuits,. I. INTRODUCTION RECENTLY, interest in the high-speed digital bipolar circuit has been increasing [1] [3]. This is due to the continuously expanding markets for mobile telecommunication systems, including automobile and portable telephones, gigabytes/s optical-fiber transmission links, and high-speed measurement equipment. The key digital gates in those fields are exclusive OR (XOR) and D flip-flop (D-FF). The ultrahigh-speed frequency dividers, which are made up of flip-flops, are not only a technology test circuit for demonstrating the high-frequency performance of newly developed transistors, but are also one of the key devices in microwave and satellite communication systems. Indeed, Prescaler IC s that operate in the gigahertz frequency range are essential for frequency synthesizers in mobile telecommunication systems [4] [12]. Moreover, the high-speed time-division multiplexers (MUX s), which are realized with XOR gates, are important basic components in numerous high-speed optical-fiber transmission systems [13] [24]. Current mode logic (CML) and emitter coupled logic (ECL) are the main approaches for implementing bipolar high-speed gates. In the design of these digital circuits, minimization of the propagation delay is a fundamental step, and the target must be achieved with the lowest power consumption. Manuscript received July 1999; revised January This paper was recommended by Associate Editor G. Gielen. The authors are with the Department of Electrical and Electronic Systems, University of Catania, I Catania, Italy. Publisher Item Identifier S (00) The propagation delay models available in the literature for high-speed bipolars are not sufficiently simple for use in pencil and paper optimized design. The model derived by using sensitivity analysis [25] [28] is expressed as sum of a high number of terms (for a CML inverter, which is the simplest gate, the terms are 30 and they increase for more complex gates). In particular, it needs four times the number of simulations than terms to define their values. The approaches proposed in [29] [31] are based on the linearization of the device, but the former method does not lead to a closed-form delay expression, and the other two are not extended to the ECL gates unless by using another approach like the average branch current analysis, which was previously introduced in [32] and [33]. Other methods proposed are too complex for use during design [34]. Recently, the authors proposed a simple model for CML and ECL inverters [35] which can be used to achieve an optimized design of CML and ECL inverters [36]. Unlike the other models available in the literature for high-speed bipolars, the proposed approach is sufficiently simple to allow a pencil-and-paper optimized design. In this paper, models and optimized design of MUX/XOR and flip-flop in CML and ECL design styles are presented. The simpler ones have a maximum error lower than 20%, which is sufficiently accurate to allow an optimized design of the series gating, while the improved models exhibit an error lower than 5% in the range of interest. To perform the design, the bias currents minimizing the propagation delay of the gates are found. Moreover, we demonstrate that at the cost of a 10% increase in propagation delay we can reduce power dissipation by 40%. The models and the design examples are validated by using both a traditional and a high-speed bipolar process which have a transition frequency equal to 6 GHz and 20 GHz, respectively. II. CML MUX/XOR AND D-FF PROPAGATION DELAY MODELS A. Simple MUX/XOR Model A CML MUX and XOR are shown in Fig. 1(a) and (b), respectively. To achieve high-speed performance, their transistors work in a linear region and can be represented with a linearized model identical for both circuits. Let us consider only the input set which gives the worst propagation delay. As stated in [28], the worst case is with an input step at the lower level transistors, Q1-Q2, and setting constant the upper level inputs. This is because in the opposite case, there is a lower number of time constants which contribute to the final propagation delay, allowing intrinsic compensation between the base collector capacitance of the upper switching transistors to be achieved [37], [38]. For /00 $ IEEE

2 ALIOTO AND PALUMBO: CURRENT MODE MUX/XOR AND D FLIP-FLOP 453 where the diffusion capacitance is given by [25] where is the transistor transit time. The base collector capacitances are split into an intrinsic part and an extrinsic one, so as to take their distributed nature into account, using the technological parameter, which ranges between 0 and 1 (2) (3a) (3b) The collector-substrate capacitances,, are only junction capacitances. Moreover, since voltages move rapidly over a wide range, the junction capacitances are modified from their value in a zero-bias condition via coefficients given by [39] (4) Fig. 1. (a) MUX. (b) XOR. (a) (b) where is the built-in potential across the junction, is the grading coefficient of the junction, and and are the minimum and maximum direct voltages across the junction, respectively. It is worth noting that since the voltage variation at the emitter node of the upper transistors is small, we can use small-signal values for and [42]. Finally, it is worth noting that we are assuming a realistic case in which input A is driven by another CML gate. Hence, in the upper input, we have to include the equivalent output resistance of the previous gate, represented by the two resistances, in series with and. However, this equivalent output resistance must not be considered in the switching lower input because it is driven by an ideal voltage generator, which represents the voltage variation on the input node. Load effects on that node can be properly taken into consideration by evaluating the propagation delay of the previous gate. Assuming a dominant pole behavior with a time constant, the propagation delay, is equal to The time constant is given by the sum of time constants associated at each capacitance when the others are opened (i.e., set to a zero value) [40] [42]. Thus, by inspection of the circuit in Fig. 2, neglecting terms with respect to terms and lumping and into, and, respectively, we get gate symmetry without loss of generality, we assume input A to be high (and input B of the MUX to be low). Hence, transistors Q3 and Q6 are on and transistors Q4 and Q5 are off. Since differential operation is assumed, we can limit our analysis to the half-circuit model in Fig. 2. The transconductance, and the input resistance, pertain to the small signal model and, because they are equal for the upper and lower transistors, result in and, respectively. Indeed, the bias condition considered emerges when the lower transistors have half of the switched current. Given that the upper transistors are on, all this current flows through them. The resistances, and are resistive parasitics. The base emitter capacitances of the switching pair (Q1 and Q2),, are composed of a diffusion capacitance and a junction capacitance (1) (5) where and are for lower and upper transistors, respectively, and is the equivalent resistance at the emitter of Q3, given by (6)

3 454 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 47, NO. 5, MAY 2000 Fig. 2. MUX/XOR half-circuit model. The propagation delay is the sum of eight main terms which have a simple circuit meaning. Three terms are due to the lower transistors, four to the upper transistors, and one to the load. B. Improved MUX/XOR Model Although the accuracy of the previous model may be adequate enough during pencil and paper design, it is not when implementing an accurate simulation model to evaluate the delay of a complex system. We thus have to improve the accuracy of the model. The strategy adopted was to introduce five proper coefficients, evaluated by a few simulation runs with a numerical procedure. More specifically, the model given by (5) now becomes should be noted that this approach is not equal to the one used for the improved CML inverter model, where coefficients are introduced for all the parasitic capacitances [35]. The reason is that to apply this approach for the XOR gate, six more coefficients are required, so that the procedure loses its intrinsic simplicity. Thus, to minimize error without increasing the number of the parameters, four of the coefficients are associated to the most critical capacitances, while one is used for all the propagation delay terms unless it is associated with the load capacitance. Among the many ways to obtain the coefficients, the most efficient, because it requires few simulation runs, is minimizing the functional below (8) In (8), parameter is itself a variable, but, according to our experience, it can be set to 5. Moreover, by increasing the load capacitance, the behavior tends to a simple linear one-pole function; hence, the functional is minimized by varying only the bias current and setting the load capacitance equal to zero in all cases. Minimization of functional can be achieved with any type of numerical software such as Mathcad, Matlab, etc. (7) where the values for the base collector and collector-substrate capacitances of Q3 and Q5 are used in zero-bias condition. It C. XOR Model Validation To evaluate the accuracy of the simple and accurate propagation delay models of the XOR, given by (5) and (7), respectively, a comparison with SPICE simulations was carried out. In

4 ALIOTO AND PALUMBO: CURRENT MODE MUX/XOR AND D FLIP-FLOP 455 TABLE I 6-GHz TECHNOLOGY X =0:146 and I =8:91E 0 18 A. ^ This capacitance was evaluated using its small-signal expression. TABLE II 20-GHz TECHNOLOGY Fig. 3. Analytical and simulated delay versus bias current I of MUX/XOR for 6-GHz technology. X =0:23 and I =7:4E 0 18 A. ^ This capacitance was evaluated using its small-signal expression. TABLE III USEFUL PROCESS PARAMETERS Fig. 4. Analytical and simulated delay versus bias current I of MUX/XOR for 20-GHz technology. addition, to generalize the comparison, two different technologies were also taken into consideration, BiCMOS whose n-p-n bipolar transistor has a transition frequency equal to 6 GHz, and a high-speed bipolar technology whose NPN transistor has a transition frequency of 20 GHz. The circuits have a 5-V power supply and a 250-mV logic swing for one branch (i.e., mv) which, for the XOR gate, determines the junction capacitances given in Tables I and II for the 6-GHz and 20-GHz technology, respectively. Tables I and II also include the minimum and maximum direct voltages across the junction, the built-in potential, the grading coefficient, the zero-bias capacitance, and the resulting. Other useful technological parameters are included in Table III. The simple model and simulated propagation delay of XOR versus the bias current whose load capacitance is equal to 0, 100 ff, and 1 pf, is plotted in Figs. 3 and 4 for the 6-GHz and 20-GHz technology respectively. The error for the two technologies lying outside the high-level injection region (i.e., ma and ma for the 6-GHz and 20-GHz technology, respectively) is plotted in Figs. 5 and 6. It has a worst-case error always lower than 15%, and decreases by Fig. 5. Error versus bias current I for MUX/XOR simple model for 6-GHz technology. increasing the load capacitance because tends to dominate over the parasitic capacitances. The accurate model parameters are summarized in Table IV for both technologies. The error found, plotted in Figs. 7 and 8, is limited. Indeed, it is always lower than 5% and is typically about 2%. D. Models Extension to D-FF The only difference between the MUX/XOR gate and the D-FF is due to the different load at the output nodes. Indeed, transistors Q5 and Q6, which are connected in a positive

5 456 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 47, NO. 5, MAY 2000 Fig. 6. Error versus bias current I for MUX/XOR simple model for 20-GHz technology. TABLE IV ACCURATE MODEL PARAMETERS Fig. 9. D-FF. of the differential stage evaluated in Appendix I. Hence, the simple model of propagation delay is that of MUX/XOR in (5) by substituting with equal to Since the loading effects of Q5 and Q6 are estimated in an approximated manner, the accurate model is obtained from the one developed for the MUX/XOR after adding a further term which accurately takes into account the effect of the different load. In particular, we use load instead of, defined as (10) where the unknown capacitance is found by minimizing the functional (9) Fig. 7. Error versus bias current I for MUX/XOR accurate model for 6-GHz technology. (11) Fig. 8. Error versus bias current I for MUX/XOR accurate model for 20-GHz technology. feedback loop, load the output nodes with their equivalent input capacitance (Fig. 9). Neglecting the feedback loop, the added equivalent capacitance can be approximated to that E. D-FF Model Validation We compared the analytical results of both the simple and accurate model with respect to the SPICE simulations of a CML D-FF by working under the same conditions described in Section II-C. The parameters reported in Tables I and II still hold. The only parameter which has to be modified in those tables is the coefficients of, which are equal to and for the 6-GHz and 20-GHz technology, respectively. The simple model and simulated propagation delay of D-FF versus the bias current whose load capacitance is equal to 0, 100 ff, and 1 pf, is plotted in Figs. 10 and 11 for the 6-GHz and 20-GHz technology, respectively. The error outside the high-level injection region, plotted in Figs. 12 and 13, is always lower than 20%, and like for the MUX/XOR, decreases after the load capacitance is increased. The accurate model parameters are summarized in Table IV for both technologies. The error found, plotted in Figs. 14 and

6 ALIOTO AND PALUMBO: CURRENT MODE MUX/XOR AND D FLIP-FLOP 457 Fig. 10. Analytical and simulated delay versus bias current I of D-FF for 6-GHz technology. Fig. 13. Error versus bias current I for 20-GHz technology. Fig. 11. Analytical and simulated delay versus bias current I of D-FF for 20-GHz technology. Fig. 14. Error versus bias current I for 6-GHz technology. Fig. 12. Error versus bias current I for 6-GHz technology. 15, is always lower than 5%, and is typically about 2%. Thus, the same accuracy as the MUX/XOR is achieved. III. XOR AND D-FF OPTIMIZED DESIGN Let us consider the models derived from the XOR and D-FF. Since the swing of a branch (i.e., half the swing of the gate) is equal to, and neglecting with respect to 1, both propagation delay relationships for the simple, or for the accurate case, can be written (12) Fig. 15. Error versus bias current I for 20-GHz technology. which can be optimized in terms of the bias current. Deriving (12) for and setting the result to zero, the minimum propagation delay is achieved by setting the bias current to (13) and results equal to (14) Representing with the ratio between the propagation delay and its optimum value (i.e., ),

7 458 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 47, NO. 5, MAY 2000 Fig. 17. Equivalent circuit for evaluation of a gate input capacitance. Fig. 16. Normalized propagation delay versus normalized bias current. we get (15) where the parameter, is the bias current normalized to. It is worth noting that although approximation does not derive from an intrinsic property of the relationship, it holds for all practical cases as shown in the following subsections. The expression of is independent of the circuit and process parameters, and inspection of its behavior, shown in Fig. 16, shows that a reduction in the bias current around its optimum value (i.e., lower than 1) only determines a small increase in the resulting propagation delay (a gives a ). A good tradeoff between power and speed is achieved by setting (i.e., a bias current equal to 60% of the optimum value), which determines a propagation delay only 10% worse than the optimum value. A. XOR Design As shown in the above section, the simple model is sufficiently accurate to be used during pencil and paper design. Hence, from (5), parameter and become (16c) From (16), the optimum bias current for the XOR is shown in (17), found at the bottom of the page. The optimum value of current for a negligible load capacitance is more than twice as high than a simple CML inverter [36], but tends to its value with increasing load capacitance. Exactly the same consideration can be made for the optimum propagation delay which tends to that of a simple CML inverter with increasing load capacitance. Indeed, parameter in both inverter and XOR is the same. B. D-FF Design The only difference between XOR and D-FF is in parameter, which in this case is (16a) (16b) (18) and hence, the optimum value of current results as in (19), found at the bottom of the next page.it is clear that, for negligible load capacitances, the increased load due to transistors Q5 and Q6 leads an optimum bias current and propagation delay worse than those found for XOR and the inverter gates. However, once again, the difference is strongly reduced for load conditions in which the load capacitance is dominant. (17)

8 ALIOTO AND PALUMBO: CURRENT MODE MUX/XOR AND D FLIP-FLOP 459 C. Non-Unitary Area Design Design optimization can be also performed by properly increasing the transistor area which also determines an increased value of the optimum bias current (i.e., increased power consumption) [36]. Moreover, the increase in area from the unitary value, according to the results given in Appendix I, leads to a proportional increase in the input capacitance of the CML gate, which slows down the response of the previous gate. As a consequence, this procedure is not very efficient. However, an increase in transistor area can be useful when the optimum current for the unitary case (1) gives a nonnegligible high-injection level which reduces the transistor s performance. For this end, we designed the transistor area to avoid high-injection effects. D. Design Examples To illustrate the proposed procedure in detail, XOR and D-FF with both the 6- and the 20-GHz technologies, assuming the fan-out to be equal to 1 and 10, were designed. The gates were powered with 5 V and had mv, thus Tables I III could be used. According to Appendix I, for the 6-GHz technology, the two load conditions are equivalent to a 120-fF and 1.2-pF load capacitance. The optimum bias currents are 1 and 1.9 ma, which leads to a propagation delay of 243 and 338 ps. The values are very close to those given by SPICE simulations, which are 234 and 351 ps. For a fan-out of 10, the current is slightly higher than 1.4 ma; therefore, the transistors work marginally at the high-injection level, but there is no appreciable degradation in the propagation delay. With the 20-GHz technology, the two fan-out conditions are equivalent to a 100-fF and 1-pF load capacitance. The optimum bias currents are 4.8 and 10 ma, which lead to a high power dissipation and are significantly greater than the high-injection level. Hence, we can use the 40% optimum bias current which gives 2.8 and 6 ma for a fan-out of 1 and 10, respectively. In the former case, we can still use a minimum size transistor and the resulting propagation delay is 45 ps, which has an error of 15% with respect to the value given by (5). In the second case, the bias current is excessively higher than the high-injection level and we have to use a transistor with an area three times the minimum size. Under this condition, we get a propagation delay of 69 ps, which shows an error of 13% with respect to the theoretical value. To simply evaluate the propagation delay for gates with a nonunitary size, the relationship in Appendix II can be used. IV. CONCLUSION In this paper, both models and design strategies for MUX/XOR and D-FF CML are given. The simple models, which show typical errors lower than 20%, are suitable for pencil-and-paper design. The accurate models, which have typical errors of 2%, can be used in simulators to evaluate the time behavior of complex systems. It is worth noting that simple model accuracy improves by increasing the load capacitance. Both simple and accurate models have a small number of terms compared to those proposed in the literature. Moreover, the terms used are strongly related to the circuit, and hence, the process parameter. The main difference between the accurate and the simple models is that the former need SPICE simulations to properly evaluate model parameters, although the few simulations required are much fewer than those used with the traditional sensitivity approach. Using the simple models proposed, an optimized design procedure has been presented. The design strategies allow us to set the bias current which gives the best propagation delay. The strategy also demonstrates that by reducing the power dissipation by 40%, we only loose 10% of the speed. Moreover, we show that simple model accuracy is sufficient to allow a pencil and paper design. From the investigation, we find that D-FF has an optimum bias current and a resulting optimum propagation delay higher than the XOR, but this difference tends to be negligible when the load capacitance is higher than the gate parasitic capacitances (i.e., increasing the fan-out). APPENDIX I EVALUATION OF THE INPUT CAPACITANCE OF THE CURRENT-MODE GATE By inspection of the small-signal model of a CML inverter [35], [36], neglecting the parasitic resistance, and applying the Miller theorem on the intrinsic and extrinsic base collector capacitance, the input impedance can be represented with the linear circuit in Fig. 17. The worst case arises when the capacitance in parallel with the resistance is shortcircuited. Thus, the equivalent input capacitance of a CML and ECL gate can be assumed to be (a1.1) Relationship (a1.1) gives a value of 138 and 109 ff for the 6- and 20-GHz technologies, respectively. By simulation, we found that a capacitive load equivalent to a CML or ECL load is 120 and 100 ff for the 6- and 20-GHz technologies respectively, leading to an error lower than 15%. APPENDIX II PROPAGATION DELAY FOR NON-UNITARY AREA Let us consider the transistors made up of unitary transistors, and assuming the resistances, and, and capaci- (19)

9 460 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 47, NO. 5, MAY 2000 tances, and to be those of the unitary transistor, relationship (12) can be rewritten as where and are ACKNOWLEDGMENT (a2.1) (a2.2) (a2.3) The authors would like to thank ST Microelectronics and, in particular, Prof. G. Ferla for having allowed them to use the SPICE model of HSB2 Technology. REFERENCES [1] H. Rein, Design consideration for very-high-speed Si-bipolar IC s operating up to 50 Gb/s, IEEE J. Solid-State Circuits, vol. 31, pp , Aug [2] C. Maier et al., A 533-MHz BiCMOS superscalar RISC microprocessor, IEEE J. Solid-State Circuits, vol. 33, pp , Nov [3] K. Koike et al., High-speed low-power bipolar standard cell design methodology for Gbit/s signal processing, IEEE J. Solid-State Circuits, vol. 33, pp , Oct [4] Y. Akazawa et al., Low power 1 GHz frequency synthesizer LSI s, IEEE J. Solid-State Circuits, vol. SC-18, pp , Feb [5] N. Sheng et al., A high-speed multimodulus HBT prescaler for frequency synthesizer applications, IEEE J. Solid-State Circuits, vol. 26, pp , Oct [6] M. Kurisu et al., A Si bipolar 21-GHz/320-mW static frequency divider, IEEE J. Solid-State Circuits, vol. 26, pp , Nov [7] C. Choy et al., A BiCMOS programmable frequency divider, IEEE Trans. Circuits Syst. II, vol. 39, pp , Mar [8] M. Mizuno et al., A 3-mW 1.0-GHz silicon-ecl dual-modulus prescaler IC, IEEE J. Solid-State Circuits, vol. 27, pp , Dec [9] T. Seneff et al., A sub-1 ma 1.5-GHz silicon bipolar dual modulus prescaler, IEEE J. Solid-State Circuits, vol. 29, pp , Oct [10] K. Ishii et al., Very-high-speed Si bipolar static frequency dividers with new T-type flip-flops, IEEE J. Solid-State Circuits, vol. 30, pp , Jan [11] Y. Kuriyama et al., A 40-GHz D-type flip-flop using AlGaAs/GaAs HBT s, IEEE J. Solid-State Circuits, vol. 30, pp , Oct [12] C. Vaucher and D. Kasperkovitz, A wide-band tuning system for fully integrated satellite receivers, IEEE J. Solid-State Circuits, vol. 33, pp , July [13] H. Ichino et al., 12-Gb/s decision circuit IC using AlGaAs/GaAs HBT technology, IEEE J. Solid-State Circuits, vol. 25, pp , Dec [14] C. Stout and J. Doernberg, 10-Gb/s silicon bipolar 8:1 multiplexer and 1:8 demultiplexer, IEEE J. Solid-State Circuits, vol. 28, pp , Mar [15] Z. H. Lao et al., A 12 Gb/s Si bipolar 4:1-multiplexer IC for SDH systems, IEEE J. Solid-State Circuits, vol. 30, pp , Feb [16] L. I. Andersson et al., Silicon bipolar chipset for SONET/SDH 10 Gb/s fiber-optic communication links, IEEE J. Solid-State Circuits, vol. 30, pp , Mar [17] N. Ishihara et al., 3:5-Gb/s 2 4-Ch Si Bipolar LSI s for optical interconnections, IEEE J. Solid-State Circuits, vol. 30, pp , Dec [18] Z. Lao et al., Si bipolar 14 Gb/s 1:4-demultiplexer IC for system applications, IEEE J. Solid-State Circuits, vol. 31, pp , Jan [19] Z. Lao and U. Langmann, Design of a low-power 10 Gb/s Si bipolar 1:16-demultiplexer IC, IEEE J. Solid-State Circuits, vol. 31, pp , Jan [20] A. Felder et al., 46 Gb/s DEMUX, 50 Gb/s MUX, and 30 GHz static frequency divider in silicon bipolar technology, IEEE J. Solid-State Circuits, vol. 31, pp , Apr [21] F. Sato et al., A 2.4 Gb/s receiver and a 1:16 demultiplexer in one chip using a super self-aligned selectively grown SiGe base (SSSB) bipolar transistor, IEEE J. Solid-State Circuits, vol. 31, pp , Oct [22] J. Hauenschild et al., A plastic packaged 10 Gb/s BiCMOS clock and data recovering 1:4-demultiplexer with external VCO, IEEE J. Solid- State Circuits, vol. 31, pp , Dec [23] M. Meghelli, M. Bouchè, and A. Konczykowska, High power and high speed InP DHBT driver IC s for laser modulation, IEEE J. Solid-State Circuits, vol. 33, pp , Sept [24] P. André et al., InP DHBT technology and design methodology for high-bit-rate optical communications circuits, IEEE J. Solid-State Circuits, vol. 33, pp , Sept [25] D. D. Tang and P. M. Solomon, Bipolar transistor design for optimized power-delay logic circuits, IEEE J. Solid-State Circuits, vol. SSC-14, pp , Aug [26] E. -Chor, A. Brunnschweiler, and P. Ashburn, A propagation-delay expression and its application to the optimization of polysilicon emitter ECL processes, IEEE J. Solid-State Circuits, vol. 23, pp , Feb [27] W. Fang, Accurate analytical delay expressions for ECL and CML circuits and their applications to optimizing high-speed bipolar circuits, IEEE J. Solid-State Circuits, vol. 25, pp , Apr [28] W. Fang, A. Brunnschweiler, and P. Ashburn, An analytical maximum toggle frequency expression and its application to optimizing high-speed ECL frequency dividers, IEEE J. Solid-State Circuits, vol. 25, pp , Aug [29] K. M. Sharaf and M. Elmasry, An accurate analytical propagation delay model for high-speed CML bipolar circuits, IEEE J. Solid-State Circuits, vol. 29, pp , Jan [30] K. M. Sharaf and M. I. Elmasry, Analysis and optimization of seriesgates CML and ECL high-speed bipolar circuits, IEEE J. Solid-State Circuits, vol. 31, pp , Feb [31] Y. Harada, Delay components of a current mode logic circuit and their current dependency, IEEE J. Solid-State Circuits, vol. 30, pp , Jan [32] G. K. Konstadinidis and H. H. Berger, Optimization of buffer stages in bipolar VLSI systems, IEEE J. Solid-State Circuits, vol. 27, pp , July [33] A. T. Yang and Y. Chang, Physical timing modeling for bipolar VLSI, IEEE J. Solid-State Circuits, vol. 27, pp , Sept [34] M. Ghannam, R. Mertens, and R. Van Overstraeten, An analytical for the determination of the transient response of CML and ECL gates, IEEE Trans. Electron Devices, vol. 37, pp , Jan [35] M. Alioto and G. Palumbo, Highly accurate and simple models for CML and ECL gates, IEEE Trans. Computer-Aided Design, vol. 18, pp , Sept [36], CML and ECL: Optimized design and comparison, IEEE Trans. Circuits Syst. I, vol. 46, pp , Nov [37] T. Wakimoto and Y. Akazawa, A low-power wide-band amplifier using a new parasitic capacitance compensation technique, IEEE J. Solid- State Circuits, vol. 25, pp , Feb [38] W. Bell and J. Choma Jr., Charge-neutralized differential amplifier, Int. J. Anal. Integr. Circuits Signal Processing, pp , [39] J. Rabaey, Digital Integrated Circuits (A Design Perspective). Englewood Cliffs, NJ: Prentice-Hall, [40] B. Cochrun and A. Grabel, A method for the determination of the transfer function of electronic circuits, IEEE Trans. Circuit Theory, vol. CT-20, pp , Jan [41] J. Millman and A. Grabel, Microelectronics, 2nd ed. New York: Mc- Graw-Hill, [42] P. Gray and R. Meyer, Analysis and Design of Analog Integrated Circuits, 3rd ed. New York: Wiley, Massimo Alioto was born in Brescia, Italy, in He received the Laurea degree in electronics engineering from the University of Catania, Catania, Italy, in 1997, and is currently working toward the Ph.D. degree. His primary research interest are bipolar and CMOS high-performance digital circuits in terms of high speed or low power dissipation.

10 ALIOTO AND PALUMBO: CURRENT MODE MUX/XOR AND D FLIP-FLOP 461 Gaetano Palumbo (M 91 SM 98) was born in Catania, Italy, in He received the Laurea degree in electrical engineering in 1993 and the Ph.D. degree in 1988 from the University of Catania, Catania, Italy. Since 1987, he has been with the Institute of Electrical and Electronic Systems, University of Catania. In 1989, he was awarded a grant by AEI of Catania. In 1993, he taught a course on electronic devices, and now teaches courses on electronics and electronics for digital systems. In 1994, he joined the Department of Electrical Electronics and System (DEES) as a Researcher, where he is now a Professor. His primary research interests have included analog circuits, with particular emphasis on feedback circuits, compensation techniques, current-mode approach, and low-voltage circuits. Recently, his research involves digital circuits with emphasis on high-performance digital circuits. In these fields, he is developing research activities in collaboration with ST Microelectronics, Catania, Italy. He is co-author of the book CMOS Current-Mode Amplifier (Norwell, MA: Kluwer, 1999) and is author or co-author of more than 120 scientific papers in referred international journals and conferences. Dr. Palumbo is presently an Associate Editor of the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: FUNDAMENTAL THEORY AND APPLICATIONS.

Performance evaluation of the low-voltage CML D-latch topology

Performance evaluation of the low-voltage CML D-latch topology INTEGRATION, the VLSI journal 36 (2003) 191 209 Performance evaluation of the low-voltage CML D-latch topology M. Alioto a, *, R. Mita b, G. Palumbo b a Dipartimento di Ingegneria dell Informazione (DII),

More information

NOWADAYS, multistage amplifiers are growing in demand

NOWADAYS, multistage amplifiers are growing in demand 1690 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 9, SEPTEMBER 2004 Advances in Active-Feedback Frequency Compensation With Power Optimization and Transient Improvement Hoi

More information

IN THE LAST decade, the increasing demand for fast computation

IN THE LAST decade, the increasing demand for fast computation 16 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 1, JANUARY 2008 Power-Aware Design of Nanometer MCML Tapered Buffers Massimo Alioto, Senior Member, IEEE, and Gaetano Palumbo,

More information

POWER AND SIGNAL INTEGRITY IMPROVEMENT IN ULTRA HIGH-SPEED CURRENT MODE LOGIC

POWER AND SIGNAL INTEGRITY IMPROVEMENT IN ULTRA HIGH-SPEED CURRENT MODE LOGIC POWER AND SIGNAL INTEGRITY IMPROVEMENT IN ULTRA HIGH-SPEED CURRENT MODE LOGIC Hien Ha and Forrest Brewer University of California Santa Barbara hienha@aurora.ece.ucsb.edu forrest@engineering.ucsb.edu ABSTRACT

More information

A Low Power Single Phase Clock Distribution Multiband Network

A Low Power Single Phase Clock Distribution Multiband Network A Low Power Single Phase Clock Distribution Multiband Network A.Adinarayana Asst.prof Princeton College of Engineering and Technology. Abstract : Frequency synthesizer is one of the important elements

More information

THE TREND toward implementing systems with low

THE TREND toward implementing systems with low 724 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 7, JULY 1995 Design of a 100-MHz 10-mW 3-V Sample-and-Hold Amplifier in Digital Bipolar Technology Behzad Razavi, Member, IEEE Abstract This paper

More information

A GHz Quadrature ring oscillator for optical receivers van der Tang, J.D.; Kasperkovitz, D.; van Roermund, A.H.M.

A GHz Quadrature ring oscillator for optical receivers van der Tang, J.D.; Kasperkovitz, D.; van Roermund, A.H.M. A 9.8-11.5-GHz Quadrature ring oscillator for optical receivers van der Tang, J.D.; Kasperkovitz, D.; van Roermund, A.H.M. Published in: IEEE Journal of Solid-State Circuits DOI: 10.1109/4.987097 Published:

More information

IN RECENT years, low-dropout linear regulators (LDOs) are

IN RECENT years, low-dropout linear regulators (LDOs) are IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 9, SEPTEMBER 2005 563 Design of Low-Power Analog Drivers Based on Slew-Rate Enhancement Circuits for CMOS Low-Dropout Regulators

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

AN increasing number of video and communication applications

AN increasing number of video and communication applications 1470 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 9, SEPTEMBER 1997 A Low-Power, High-Speed, Current-Feedback Op-Amp with a Novel Class AB High Current Output Stage Jim Bales Abstract A complementary

More information

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS Neeta Pandey 1, Kirti Gupta 2, Stuti Gupta 1, Suman Kumari 1 1 Dept. of Electronics and Communication, Delhi Technological University, New Delhi (India) 2

More information

A Low-Quiescent Current Low-Dropout Regulator with Wide Input Range

A Low-Quiescent Current Low-Dropout Regulator with Wide Input Range International Journal of Electronics and Electrical Engineering Vol. 3, No. 3, June 2015 A Low-Quiescent Current Low-Dropout Regulator with Wide Input Range Xueshuo Yang Beijing Microelectronics Tech.

More information

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE MS. V.NIVEDITHA 1,D.MARUTHI KUMAR 2 1 PG Scholar in M.Tech, 2 Assistant Professor, Dept. of E.C.E,Srinivasa Ramanujan Institute

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

Designing CMOS folded-cascode operational amplifier with flicker noise minimisation

Designing CMOS folded-cascode operational amplifier with flicker noise minimisation Microelectronics Journal 32 (200) 69 73 Short Communication Designing CMOS folded-cascode operational amplifier with flicker noise minimisation P.K. Chan*, L.S. Ng, L. Siek, K.T. Lau Microelectronics Journal

More information

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders

Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders Design of Low-Power High-Performance 2-4 and 4-16 Mixed-Logic Line Decoders B. Madhuri Dr.R. Prabhakar, M.Tech, Ph.D. bmadhusingh16@gmail.com rpr612@gmail.com M.Tech (VLSI&Embedded System Design) Vice

More information

WITH advancements in submicrometer CMOS technology,

WITH advancements in submicrometer CMOS technology, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 3, MARCH 2005 881 A Complementary Colpitts Oscillator in CMOS Technology Choong-Yul Cha, Member, IEEE, and Sang-Gug Lee, Member, IEEE

More information

ENERGY consumption is one of the most important parameters

ENERGY consumption is one of the most important parameters 1094 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 10, OCTOBER 2004 Evaluation of Energy Consumption in RC Ladder Circuits Driven by a Ramp Input Massimo Alioto, Member,

More information

A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier

A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier 852 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 7, JULY 2002 A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier Ryuichi Fujimoto, Member, IEEE, Kenji Kojima, and Shoji Otaka Abstract A 7-GHz low-noise amplifier

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders

12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders 12-nm Novel Topologies of LPHP: Low-Power High- Performance 2 4 and 4 16 Mixed-Logic Line Decoders Mr.Devanaboina Ramu, M.tech Dept. of Electronics and Communication Engineering Sri Vasavi Institute of

More information

CMOS 0.35 µm Low-Dropout Voltage Regulator using Differentiator Technique

CMOS 0.35 µm Low-Dropout Voltage Regulator using Differentiator Technique CMOS 0.35 µm Low-Dropout Voltage Regulator using Differentiator Technique 1 Shailika Sharma, 2 Himani Mittal, 1.2 Electronics & Communication Department, 1,2 JSS Academy of Technical Education,Gr. Noida,

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Full Paper ACEEE Int. J. on Control System and Instrumentation, Vol. 4, No. 2, June 2013

Full Paper ACEEE Int. J. on Control System and Instrumentation, Vol. 4, No. 2, June 2013 ACEEE Int J on Control System and Instrumentation, Vol 4, No 2, June 2013 Analys and Design of CMOS Source Followers and Super Source Follower Mr D K Shedge 1, Mr D A Itole 2, Mr M P Gajare 3, and Dr P

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information

An Improved Recycling Folded Cascode OTA with positive feedback

An Improved Recycling Folded Cascode OTA with positive feedback An Improved Recycling Folded Cascode OTA with positive feedback S.KUMARAVEL, B.VENKATARAMANI Department of Electronics and Communication Engineering National Institute of Technology Trichy Tiruchirappalli

More information

THE reference spur for a phase-locked loop (PLL) is generated

THE reference spur for a phase-locked loop (PLL) is generated IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 8, AUGUST 2007 653 Spur-Suppression Techniques for Frequency Synthesizers Che-Fu Liang, Student Member, IEEE, Hsin-Hua Chen, and

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits

Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 8, NO. 2, APRIL 2000 195 Effects of Inductance on the Propagation Delay Repeater Insertion in VLSI Circuits Yehea I. Ismail Eby G.

More information

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR Yang-Shyung Shyu * and Jiin-Chuan Wu Dept. of Electronics Engineering, National Chiao-Tung University 1001 Ta-Hsueh Road, Hsin-Chu, 300, Taiwan * E-mail:

More information

Low-output-impedance BiCMOS voltage buffer

Low-output-impedance BiCMOS voltage buffer Low-output-impedance BiCMOS voltage buffer Johan Bauwelinck, a) Wei Chen, Dieter Verhulst, Yves Martens, Peter Ossieur, Xing-Zhi Qiu, and Jan Vandewege Ghent University, INTEC/IMEC, Gent, 9000, Belgium

More information

THE rapid growth of portable wireless communication

THE rapid growth of portable wireless communication 1166 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 8, AUGUST 1997 A Class AB Monolithic Mixer for 900-MHz Applications Keng Leong Fong, Christopher Dennis Hull, and Robert G. Meyer, Fellow, IEEE Abstract

More information

A 16-GHz Ultra-High-Speed Si SiGe HBT Comparator

A 16-GHz Ultra-High-Speed Si SiGe HBT Comparator 1584 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 9, SEPTEMBER 2003 A 16-GHz Ultra-High-Speed Si SiGe HBT Comparator Jonathan C. Jensen, Student Member, IEEE, and Lawrence E. Larson, Fellow, IEEE

More information

Department of Electrical Engineering and Computer Sciences, University of California

Department of Electrical Engineering and Computer Sciences, University of California Chapter 8 NOISE, GAIN AND BANDWIDTH IN ANALOG DESIGN Robert G. Meyer Department of Electrical Engineering and Computer Sciences, University of California Trade-offs between noise, gain and bandwidth are

More information

CDTE and CdZnTe detector arrays have been recently

CDTE and CdZnTe detector arrays have been recently 20 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 44, NO. 1, FEBRUARY 1997 CMOS Low-Noise Switched Charge Sensitive Preamplifier for CdTe and CdZnTe X-Ray Detectors Claudio G. Jakobson and Yael Nemirovsky

More information

IN PAST years, the delay uncertainty has become a fundamental

IN PAST years, the delay uncertainty has become a fundamental 1322 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 12, DECEMBER 2006 Impact of Supply Voltage Variations on Full Adder Delay: Analysis and Comparison Massimo Alioto, Fellow,

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 COMPARATIVE

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

CML Current mode full adders for 2.5-V power supply

CML Current mode full adders for 2.5-V power supply CML Current full adders for 2.5-V power supply. Kazeminejad, K. Navi and D. Etiemble. LI - U 410 CNS at 490, Université Paris Sud 91405 Orsay Cedex, France bstract We present the basic structure and performance

More information

A Static Frequency Divider in InP-DHBT Technology for Process Control

A Static Frequency Divider in InP-DHBT Technology for Process Control Diploma Thesis A Static Frequency Divider in InP-DHBT Technology for Process Control March 2002 Stefan Bleuler Urs Hammer Supervisors: Iwan Schnyder Volker Schwarz Prof. Dr. H. Jäckel Electronics Laboratory

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information

Transconductance Amplifier Structures With Very Small Transconductances: A Comparative Design Approach

Transconductance Amplifier Structures With Very Small Transconductances: A Comparative Design Approach 770 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 6, JUNE 2002 Transconductance Amplifier Structures With Very Small Transconductances: A Comparative Design Approach Anand Veeravalli, Student Member,

More information

A new class AB folded-cascode operational amplifier

A new class AB folded-cascode operational amplifier A new class AB folded-cascode operational amplifier Mohammad Yavari a) Integrated Circuits Design Laboratory, Department of Electrical Engineering, Amirkabir University of Technology, Tehran, Iran a) myavari@aut.ac.ir

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Gigahertz SiGe BiCMOS FPGAs with new architecture and novel power management techniques

Gigahertz SiGe BiCMOS FPGAs with new architecture and novel power management techniques Journal of Circuits, Systems, and Computers c World Scientific Publishing Company Gigahertz SiGe BiCMOS FPGAs with new architecture and novel power management techniques K. Zhou, J. -R. Guo, C. You, J.

More information

SOLIMAN A. MAHMOUD Department of Electrical Engineering, Faculty of Engineering, Cairo University, Fayoum, Egypt

SOLIMAN A. MAHMOUD Department of Electrical Engineering, Faculty of Engineering, Cairo University, Fayoum, Egypt Journal of Circuits, Systems, and Computers Vol. 14, No. 4 (2005) 667 684 c World Scientific Publishing Company DIGITALLY CONTROLLED CMOS BALANCED OUTPUT TRANSCONDUCTOR AND APPLICATION TO VARIABLE GAIN

More information

Expanded Answer: Transistor Amplifier Problem in January/February 2008 Morseman Column

Expanded Answer: Transistor Amplifier Problem in January/February 2008 Morseman Column Expanded Answer: Transistor Amplifier Problem in January/February 2008 Morseman Column Here s what I asked: This month s problem: Figure 4(a) shows a simple npn transistor amplifier. The transistor has

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

Index. Small-Signal Models, 14 saturation current, 3, 5 Transistor Cutoff Frequency, 18 transconductance, 16, 22 transit time, 10

Index. Small-Signal Models, 14 saturation current, 3, 5 Transistor Cutoff Frequency, 18 transconductance, 16, 22 transit time, 10 Index A absolute value, 308 additional pole, 271 analog multiplier, 190 B BiCMOS,107 Bode plot, 266 base-emitter voltage, 16, 50 base-emitter voltages, 296 bias current, 111, 124, 133, 137, 166, 185 bipolar

More information

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells

High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells High Speed NP-CMOS and Multi-Output Dynamic Full Adder Cells Reza Faghih Mirzaee, Mohammad Hossein Moaiyeri, Keivan Navi Abstract In this paper we present two novel 1-bit full adder cells in dynamic logic

More information

THE GROWTH of the portable electronics industry has

THE GROWTH of the portable electronics industry has IEEE POWER ELECTRONICS LETTERS 1 A Constant-Frequency Method for Improving Light-Load Efficiency in Synchronous Buck Converters Michael D. Mulligan, Bill Broach, and Thomas H. Lee Abstract The low-voltage

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s

EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s EFFICIENT LOW POWER DYNAMIC COMPARATOR FOR HIGH SPEED ADC s B.Padmavathi, ME (VLSI Design), Anand Institute of Higher Technology, Chennai, India krishypadma@gmail.com Abstract In electronics, a comparator

More information

Yet, many signal processing systems require both digital and analog circuits. To enable

Yet, many signal processing systems require both digital and analog circuits. To enable Introduction Field-Programmable Gate Arrays (FPGAs) have been a superb solution for rapid and reliable prototyping of digital logic systems at low cost for more than twenty years. Yet, many signal processing

More information

Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh

Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh Abstract A 5GHz low power consumption LNA has been designed here for the receiver front end using 90nm CMOS technology.

More information

RESISTOR-STRING digital-to analog converters (DACs)

RESISTOR-STRING digital-to analog converters (DACs) IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 6, JUNE 2006 497 A Low-Power Inverted Ladder D/A Converter Yevgeny Perelman and Ran Ginosar Abstract Interpolating, dual resistor

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit

Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 49, NO. 4, AUGUST 2002 1819 Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit Tae-Hoon Lee, Gyuseong Cho, Hee Joon Kim, Seung Wook Lee, Wanno Lee, and

More information

Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage

Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage Design Analysis and Performance Comparison of Low Power High Gain 2nd Stage Differential Amplifier Along with 1st Stage Sadeque Reza Khan Department of Electronic and Communication Engineering, National

More information

Low Output Impedance 0.6µm-CMOS Sub-Bandgap Reference. V. Gupta and G.A. Rincón-Mora

Low Output Impedance 0.6µm-CMOS Sub-Bandgap Reference. V. Gupta and G.A. Rincón-Mora Low Output Impedance 0.6µm-CMOS Sub-Bandgap Reference V. Gupta and G.A. Rincón-Mora Abstract: A 0.6µm-CMOS sub-bandgap reference circuit whose output voltage is, unlike reported literature, concurrently

More information

WITH the growth of data communication in internet, high

WITH the growth of data communication in internet, high 136 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 2, FEBRUARY 2008 A 0.18-m CMOS 1.25-Gbps Automatic-Gain-Control Amplifier I.-Hsin Wang, Student Member, IEEE, and Shen-Iuan

More information

Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design. by Dr. Stephen Long University of California, Santa Barbara

Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design. by Dr. Stephen Long University of California, Santa Barbara Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design by Dr. Stephen Long University of California, Santa Barbara It is not easy to design an RFIC mixer. Different, sometimes conflicting,

More information

REFERENCES. [1] P. J. van Wijnen, H. R. Claessen, and E. A. Wolsheimer, A new straightforward

REFERENCES. [1] P. J. van Wijnen, H. R. Claessen, and E. A. Wolsheimer, A new straightforward REFERENCES [1] P. J. van Wijnen, H. R. Claessen, and E. A. Wolsheimer, A new straightforward calibration and correction procedure for on-wafer high-frequency S-parameter measurements (45 MHz 18 GHz), in

More information

Integrated Circuit: Classification:

Integrated Circuit: Classification: Integrated Circuit: It is a miniature, low cost electronic circuit consisting of active and passive components that are irreparably joined together on a single crystal chip of silicon. Classification:

More information

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT

DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT DESIGN AND VERIFICATION OF ANALOG PHASE LOCKED LOOP CIRCUIT PRADEEP G CHAGASHETTI Mr. H.V. RAVISH ARADHYA Department of E&C Department of E&C R.V.COLLEGE of ENGINEERING R.V.COLLEGE of ENGINEERING Bangalore

More information

Ultra-Low-Voltage Floating-Gate Transconductance Amplifiers

Ultra-Low-Voltage Floating-Gate Transconductance Amplifiers IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 48, NO. 1, JANUARY 2001 37 Ultra-Low-Voltage Floating-Gate Transconductance Amplifiers Yngvar Berg, Tor S. Lande,

More information

Voltage Feedback Op Amp (VF-OpAmp)

Voltage Feedback Op Amp (VF-OpAmp) Data Sheet Voltage Feedback Op Amp (VF-OpAmp) Features 55 db dc gain 30 ma current drive Less than 1 V head/floor room 300 V/µs slew rate Capacitive load stable 40 kω input impedance 300 MHz unity gain

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

THE recent popularity of the Internet and multimedia

THE recent popularity of the Internet and multimedia 1290 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 34, NO. 9, SEPTEMBER 1999 Design of a 32.7-GHz Bandwidth AGC Amplifier IC with Wide Dynamic Range Implemented in SiGe HBT Kenichi Ohhata, Toru Masuda, Eiji

More information

Design of the Low Phase Noise Voltage Controlled Oscillator with On-Chip Vs Off- Chip Passive Components.

Design of the Low Phase Noise Voltage Controlled Oscillator with On-Chip Vs Off- Chip Passive Components. 3 rd International Bhurban Conference on Applied Sciences and Technology, Bhurban, Pakistan. June 07-12, 2004 Design of the Low Phase Noise Voltage Controlled Oscillator with On-Chip Vs Off- Chip Passive

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS Fourth Edition PAUL R. GRAY University of California, Berkeley PAUL J. HURST University of California, Davis STEPHEN H. LEWIS University of California,

More information

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits Research Journal of Applied Sciences, Engineering and Technology 5(10): 2991-2996, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: September 16, 2012 Accepted:

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

ECEN 474/704 Lab 7: Operational Transconductance Amplifiers

ECEN 474/704 Lab 7: Operational Transconductance Amplifiers ECEN 474/704 Lab 7: Operational Transconductance Amplifiers Objective Design, simulate and layout an operational transconductance amplifier. Introduction The operational transconductance amplifier (OTA)

More information

REFERENCE circuits are the basic building blocks in many

REFERENCE circuits are the basic building blocks in many IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 8, AUGUST 2006 667 New Curvature-Compensation Technique for CMOS Bandgap Reference With Sub-1-V Operation Ming-Dou Ker, Senior

More information

Design Of Low Power Cmos High Performance True Single Phase Clock Dual Modulus Prescaler

Design Of Low Power Cmos High Performance True Single Phase Clock Dual Modulus Prescaler RESEARCH ARTICLE OPEN ACCESS Design Of Low Power Cmos High Performance True Single Phase Clock Dual Modulus Prescaler Ramesh.K 1, E.Velmurugan 2, G.Sadiq Basha 3 1 Department of Electronics and Communication

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology

An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS Technology IJIRST International Journal for Innovative Research in Science & Technology Volume 2 Issue 10 March 2016 ISSN (online): 2349-6010 An Optimal Design of Ring Oscillator and Differential LC using 45 nm CMOS

More information

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates R Ravikumar Department of Micro and Nano Electronics, VIT University, Vellore, India ravi10ee052@hotmail.com

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

THERE is currently a great deal of activity directed toward

THERE is currently a great deal of activity directed toward IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 12, DECEMBER 1997 2097 A 2.5-GHz BiCMOS Transceiver for Wireless LAN s Robert G. Meyer, Fellow IEEE, William D. Mack, Senior Member IEEE, and Johannes

More information