Current Based Delay Models: A Must For Nanometer Timing

Size: px
Start display at page:

Download "Current Based Delay Models: A Must For Nanometer Timing"

Transcription

1 Current Based Delay Models: A Must For Nanometer Timing Ratnakar Goyal rgoyal@cadence.com Naresh Kumar nkumar@cadence.com Cadence Design Systems, Inc. Abstract In order to accurately account for nanometer effects during timing analysis, traditional cell models must be replaced. The assumption of linear input voltages and lumped output load are no longer valid [1]. The existing cell delay models do not match well with the advanced interconnect delay models. Interconnect models consume linear voltage waveforms produced by these cell models and produce voltage waveforms for consumption by downstream cell models. Further, the arbitrary waveforms produced by these interconnect models are linearized for consumption by cell models. Current based delay models circumvent this problem and provide a cell delay model which can both produce and consume nonlinear current/voltage waveforms. Keywords Interconnect Delay, Driver Model, Receiver Model, Voltage Source, Current Source, IR Drop, Delay Calculation, and Signal Integrity 1. Introduction Transistor drive capability and input capacitance are becoming more complex and non-linear at nanometer geometries. Computing the response of a gate and the interconnect it drives, is challenging, particularly for highly resistive nets. In addition, delay and slew of signals are dependent on many variables. For example, voltage drop from non-ideal power networks can degrade performance significantly [2]. Low power design styles incorporate two or more islands of logic, each running at a different operating voltage and the contemporary delay models fail to meet the modeling requirements of these design methodologies. Low power designs at 90nm have introduced new challenges for timing and delay calculation to support design styles that include [3]: multiple supplies to single instance (level shifters) cells used with different supplies (voltage islands) lower supply voltages to save power, but with increased sensitivity to IR drop and signal integrity. Different solutions have been proposed to overcome the challenges posed by these process and design styles. Liberty forum proposed Scalable Polynomial Delay Model (SPDM) whereas Cadence came up with Effective Current Source Model (ECSM). Recently, in the release, Liberty announced the support for Composite Current Source Model (CCS). Section 2 discusses in detail the limitations of traditional delay models. In the same section we will also describe how current models overcome these limitations for nanometer designs. Section 3 describes the characterization of current models. Section 4.1 discusses more about ECSM models and about CCS models are discussed in section 4.2. Section 5 presents a comparison of ECSM, SPDM and CCS models. Sections 6 presents the details of a comparitive study between SPICE and ECSM models. The paper concludes with a Summary section. 2. Why Current Based Models? In this section we will describe in detail the cell modeling requirements for accurate delay calculation, for the nanometer design regime and why do the traditional cell models fail to meet the modeling requirements at these geometries. 2.1 Delay Calculation Delay Calculation involves the calculation cell delay and interconnect delay. The delay calculator also computes the slew at the cell input and output pins. The delay and slew values are dependant on the cell model provided to the delay calculator, network topology and boundary conditions. Delay Calculation forms the heart of Static Timing Analysis (STA). Signal Integrity Analysis (SI) also depends on the results of delay calculation for

2 accurate generation of Timing Windows used for SI Analysis. It is thus important to have an accurate Delay Calculator which can produce results which are close to the actual delays produced using SPICE simulation. It is worth noting that delay calculation itself is dependent on the cell delay models. The most widely available cell models have been the table lookup models. These models when indexed by the input slew and the effective load capacitance produce delay and slew numbers at the output of the cell. More accurate, but less commonly available models have been proposed, such as the linear timevarying voltage source and associated resistance proposed in [4]. In [5] the authors extend the Thevenin model of [4] to accurately model the nonlinear tails exhibited when driving highly resistive interconnect. Though such cell models are not available in the delay models provided by the ASIC library vendor, different delay calculation tools have used the table lookup models to derive models which are close to those described in [5] and produced numbers which are close to SPICE for 130nm technology. However, at 90nm the interconnect delay dominates. There are three primary effects Wiring delay accounts for 75% of the overall delay [6] Interconnect delay calculation needs to more accurate. Interconnect resistance can become several Kilo- Ohms. This renders the existing models unsuitable for use at these geometries. The waveforms are becoming highly non-linear, due to high shielding resistance offered by long interconnects the cell models should provide the capability of modeling the waveforms rather than relying on the waveform generated by delay calculation engines using the existing models. Because of these effects, the models described in [4] are becoming ineffective. To understand this, let s look at relationship between input and output voltage: Vout(s)/Vin(s) = Z(s)/[Z(s)+R] where Z(s) is the impedance of the load seen by the cell and R is the equivalent Thevenin Resistance of the cell model described in [4]. For Z(s)>>R, these models tend to produce an output waveform which is the same as input waveform and the output load has no effect on the cell response. This, however, is very different from the actual waveforms produced in reality. Long interconnects which cause high load impedance also add to the non-linearity of the output waveform. Standard models, which assume ramp -like behavior, cannot handle these effects. Current models, on the other hand, model the driver as voltage-controlled current source [7] and thus do not suffer from the same shortcoming as voltage driver models. One may argue that a voltagecontrolled voltage source model can be converted into an equivalent voltage-controlled current source model and hence there should be no need for a new library cell delay model. It is, however, important to note that actual issue is not current or voltage models but it is the fact that the non-linear aspects of the input-output relationship are not maintained in the traditional ASIC libraries. Ief f ts Ief f V(y), I(y) C Ief f Figure 1: Voltage based driver model and current based driver models. A current model represents the output current waveform as a set of (current, time) pair. A different waveform is represented for each pair of input slew and output load combination. The current waveforms can be interpolated to determine the response of the cell for load and/or slew values which are not found in the characterized current model. These models help the delay calculator to accurately compute the non-linear cell output waveform. This non-linear waveform can be used to: accurately compute the gate delay accurately computation of interconnect delay precisely compute the waveform at the input of the receiving cell. Delay calculation depends on: The input-output waveform The load seen by the driver cell. The load seen by the driver depends on the pin capacitances of the receiver cell(s) and the interconnect network between the driver and driven cells. Existing cell models support only a single value of pin capacitance (a separate capacitance value can

3 be specified for rise and fall transitions). However, this single value of capacitance turns out to be insufficient for nanometer designs. The assumption of a single value of capacitance is no longer valid. Pin capacitance needs to be modeled as a function of slew arriving and the input pin and the load at the output of the receiver cell. Pin capacitance can be modeled at arc level in the timing library. For inputs which do not have any arc to an output pin, the capacitance needs to be modeled as a function of input slew only (as there is no associated load for such pins) and is modeled at the pin level. For example, the input (D) pin of a flip-flop does not have any outgoing arc. The new receiver model supports this type of modeling and also takes into account the effect of Miller capacitance. Delay calculation needs to use this model for accurate waveform construction at the receiver pin, especially when the waveforms are highly non-linear at 90nm. 2.2 Variable Voltage Design/IR Drop Analysis In order to optimize a design using multiple variable supplies, the timing of the design must be analyzed with each permissible combination of voltage ranges. Traditionally, to accurately model cell delays for a particular voltage level to within a few percent of SPICE, characterized timing views for that voltage level must be created. The problem with this approach is that it requires extensive, costly characterization. For example, to utilize six different voltage levels at three different process/temperature corners requires 18 separate timing library characterizations [7]. Even if the delay calculator can utilize timing views for all required voltage levels, an error is still incurred for any cell with an operating voltage falling between the characterized supply levels. This situation can occur, for example, due to voltage variation in the power rails (IR drop) caused by simultaneous switching of I/Os, clocks, and on chip devices. The existing lookup based models do not provide a good means of the calculating the effect of IR drop on cell delay, because of the limited capability of modeling the variation in delay as a linear function (using k-factor derating functions) of voltage variation. 1.2E E E E E E E Figure 2: Accurate non-linear IR drop using current modeling on delay versus k -factor derating On the other hand, current based models characterized for two or more different voltages have been successfully used to model the relationship between voltage and delay through non-linear interpolation. 2.3 Signal Integrity Analysis K-factor derating As already described in section 2.1, SI analysis depends on the Timing Window File (TWF) generated by the STA tool. TWF contains information about the switching window and transition times. As current based models lead to accurate delay calculation, we also get more accurate TWF and thus more accurate SI analysis. 3. Characterization of Current Based Delay Models Current based models can be generated using the same infrastructure as that used for lookup based cell models. The additional requirement is to measure and record the input and output waveforms for both current and/or voltage while characterizing the cell delay and slew values. Once the I/V (Current/Voltage) waveforms are available at the input and output of the cell, these can be easily represented in any of the formats like ECSM and CCS. 4. Industry Formats for Current Delay Models In this section, we will describe industry formats which cater to the modeling needs of nanometer designs.

4 4.1 Effective Current Source Model (ECSM) ECSM provides all the features wh ich are required of a current based delay model (described in detail in the proceeding sections). The advantages of ECSM which include: Accurate modeling of nanometer timing, including supply voltage variation Easy characterization. Characterization software from Magma and Cadence. Broad library support from vendors such as Artisan, TSMC and Virtual Silicon. Chip design software in Cadence SoC Encounter and Magma Blast Fusion. Production proven on 120+ tape outs Initially ECSM was represented in SignalStorm proprietary binary format, more commonly known as ipdb (intellectual property data base). However, as of today ECSM can be represented as a property field inside.lib file (as Liberty extensions for ECSM). This means that existing tools which do not support ECSM but do comply with the.lib standard are ECSM -compatible, although are not as accurate. the circuit. ECSM models are traditionally generated by SignalStorm s library characterization (SLC) tool and stored directly in a SignalStorm database (ipdb). The next section describes, in brief, how ECSM information can be derived from a generic characterization flow and stored in a traditional library file Representing ECSM in Liberty ECSM is stored in the form of output voltage waveforms. Given that the transition table is based on input slew rate and output loading capacitance and that the delay model uses a lumped capacitance to represent the observed loading, the output current (I out ) can be computed using the following equation: C t 2 ECSM(t 1, t 2 ) = load Vout t) dt 2 V(y) V(y) t1 ( / t t 1 I(y) I(y) Time time Figure 4: Relationship between current-voltage and voltage-time waveforms. Figure 3: ECSM data for a single slew value Cadence s nanometer signoff delay calculation tool, SignalStorm, uses ECSM driver model to represent the effect of non-linear switching waveforms on cellbased interconnect delay calculation and signal integrity. The ECSM database enables the delay calculator to utilize detailed driver current-voltage characteristics rather than relying on reverseengineered driver output waveforms derived from the delay and slew tables. It provides an efficient mechanism for storing output current or voltage profiles during active transitions on Using this equation, the output voltage waveform can be converted to ECSM. To support multiple supply voltage corners, the output voltage numbers need to be normalized from 0.0 to 1.0 of the supply voltage. The effective current also needs to be normalized to the supply voltage. With the output voltage waveform approach, the ECSM extension in Liberty is a table of voltage over transition times for every input slew and output loading capacitance index combination Formatting ECSM in Liberty Two groups are added to the Liberty specification to define the ECSM driver model: ecsm_waveform ecsm_waveform_set

5 These groups allow the creation of output voltage waveforms as a function of time and are placed within the rise_transition or fall_transition groups within a timing group. The ecsm_waveform and ecsm_waveform_set extensions are described by the following Liberty constructs: define_group(ecsm_waveform, rise_transition); define_group(ecsm_waveform_set, rise_transition); define_group(ecsm_waveform, fall_transition); define_group(ecsm_waveform_set, fall_transition); define( index_1, ecsm_waveform, string ); define( values, ecsm_waveform, string ); define( values, ecsm_waveform_set, string ); In addition, ecsm_waveform_set must be named using a reference to a template similar to other nonlinear delay model (NLDM) tables in Liberty: define_group( ecsm_lut_template, library ); define( variable_1, ecsm_lut_template, string ); define( index_1, ecsm_lut_template, string ); The ecsm_waveform and ecsm_waveform_set groups are alternate ways of describing the output rise or fall voltage waveform during a transition. provides a more compact model, although all waveforms must be represented with the same number of sample points. The ecsm_lut_template defines the points at which the output voltage is sampled, allowing the sample points to be overridden, if required, within the ecsm_waveform_set group. All the attributes associated with the timing group in which the rise_transition or fall_transition group resides are associated with the ecsm_waveform or ecsm_waveform_set groups, including attributes such as related_pin, timing_sense, and timing_type ECSM Receiver Model The ecsm_capacitance group describes the input capacitance during the rise or fall transition. It can be defined either at pin level or arc level. The following constructs are defined at library level in the.lib file. define_group(ecsm_capacitance, rise_transition); define_group(ecsm_capacitance, fall_transition); define_group(ecsm_capacitance. pin); define(index_1, ecsm_capacitance, string); define(values, ecsm_capacitance, string); 4.2 CCS Features and Format Liberty format has now come up with very similar modeling to Cadence's ECSM, called Composite Current Source (CCS). The CCS technology includes a current-based driver model and a receiver model to provide accurate delay calculation and signal integrity analysis. Figure5: ECSM waveform representation in.lib The ecsm_waveform group allows the output voltage waveforms to be specified separately for each index permutation suggested by the slew and load indexes. It also allows each waveform to be sampled at different points so that waveforms that are predominantly linear can be represented with fewer sample points. The ecsm_waveform_set group allows output voltage waveforms for all index permutations to be stored in the same group. All waveforms are assumed to be sampled at the same output voltage values. This Representing CCS in Liberty Following is the list of constructs supported in Liberty for CCS modeling output_current_template at library level. output_current_rise and output_current_fall at timing arc level receiver_capacitance at pin level receiver_capaciatnce1_rise, receiver_capacitance1_fall, receiver_capacitance2_rise, receiver_capacitance2_fall at timing arc level.

6 More details on the usage of these constructs can be found in the Liberty reference manual. 5. Comparison between different Industry Formats 5.1 Comparison between ECSM and SPDM SPDM is a mathematical model which represents the delay and slew values as a function of voltage and temperature in addition to the parameters of a lookup model. SPDM is a polynomial abstract, with multiple coefficients relating timing to a variety of inputs. SPDM requires elaborate curve fitting techniques for an accurate curve fit. However, an exact fit curve can lead to large number of co-efficient. Large number of co-efficient can cause runtime bottleneck when these large polynomials are evaluated. Inaccurate curve fitting and need for a new characterization setup has made SPDM unpopular with library vendors and designers. It also increases the characterization time 10x over NLDM and decreases the accuracy for a nominal single voltage case. ECSM, on the hand models a unique dataset for each voltage-temperature combination. Given ECSM data characterized for three voltage corners, SignalStorm delay calculator can accurately compute the delay for any other voltage by using non-linear interpolation of the 3 sets of data. The same also holds true while computing the effect of IR drop on delay. ECSM does not require any additional characterization methodology and increases the characterization time only 3x. 5.2 Comparison between ECSM and CCS CCS was released in the release of Liberty and as of today (July 2005) there is no available commercial library support from any library vendor. Further, there is no available characterization tool for CCS characterization. CCS is supported by PrimeTime but there is no flow support in implementation tools. Further there is no information about Multiple Supply Voltage or IR drop for CCS. On the other hand, ECSM has been there since 2001 and has well defined characterization flows from Cadence and Magma for the same. ECSM is supported in various Cadence tools and flows and also in Magma s BlastFusion tool. ECSM libraries are readily available from Library vendors like Artisan, TSMC, Virage Logic and Virtual Silicon. characterization challenges in directly measuring current as required in CCS. Also, there is no errorcontrol of i(t) in Spice-like simulators in the CCS flow. 6. Study of ECSM Accuracy versus SPICE using Variable Voltage Levels To verify the accuracy of ECSM delay models at different voltage levels, Artisan and Cadence performed qualification using the Artisan SAGE-X standard cell library for TSMC s 130 nm CL013G process, and measured delays against SPICE while varying voltage, slew, and load. Five cells were chosen to undergo detailed examination: three simple logic gates (BUFX2, NOR3X1, NAND2X1) and two complex cells (DFFX2, AFCSHCINX2). DFFX2 is a positive edge triggered D flip -flop and AFCSHCINX2 implements a carry-select adder function that produces the arithmetic sum (S) and carry-outs (CO0, CO1) of the operands (A, B) with active-low carry-ins (CI0N, CI1N). ECSM data was characterized: At three voltage levels (0.7V, 0.9V, and 1.08V, nominal voltage). For the slow process corner at 125 o C. Cell delays reported by SignalStorm NDC were measured using six voltage levels (0.7V, 0.8V, 0.9V, 1V, 1.08V, and 1.2V), and the results compared with SPICE simulations. Each cell was subject to Three different input slews:.042ns (min), 0.206ns(mid), and 1.5ns (max). Three different loads: 1.58fF(min), fF(mid), and fF(max). 6.1 Results The average difference between ECSM and SPICE for all the cells, across all voltage ranges, slews, and loads was 0.5% with a standard deviation of 0.63%. The distribution from all of the results is shown in Figure 6. It should be noted that though the formats are similar, ECSM is easy to characterize whereas there are

7 Accuracy % ECSM Vs Spice % -1.5% 0.5% 2.5% 4.5% -20 Figure 6: Distribution of delay error, ECSM vs. SPICE all cells, voltages, slews, and loads. Average difference is 0.5 and standard deviation of 0.63 The worst-case error (5.38%) occurred using the NAND2X1 cell with a 1.2V supply, a 1.5ns input slew, and a 1.58fF load. The absolute delay difference for this case was 3ps (ECSM predicted 48ps; SPICE predicted 45ps). Figure 8: ECSM vs. SPICE for BUFX2, output rising transition, all voltage levels, slews, loads A comparison for a complex cell (AFCSHCINX2) measuring falling transitions across all voltage levels for different slews and loads is shown in Figure 9. The accuracy of the ECSM model is valid for both simple and complex cell types and was bounded for all cell types, for both rise and fall transitions at all voltage levels. A comparison based on cell type for the midrange slew (0.206ns) and midrange load (20.224fF) is shown in Figure 7. Figure 9: ECSM vs. SPICE for AFCSHCINX2, output falling transition, all voltage levels, slews, loads Summary Figure 7 : ECSM vs. SPICE for different cell types and all voltage levels, using midrange slew and midrange load The accuracy of the ECSM model is valid across a wide range of slews and loads as well as voltage levels. A comparison for a simple cell (BUFX2) measuring rising transitions across all voltage levels for different slews and loads is shown in Figure 8. In this paper, we looked at the cell modeling challenges for the nanometer design regime. We discussed in detail about current based delay models and how they meet the nanometer design challenges. We also discussed the ECSM and CCS models. We found that though both ECSM and CCS provide similar modeling capabilities, CCS characterization has the limitations related to fast and accurate current measurement. We conclude this section with the following salient points about ECSM: Customer acceptance for ECSM as a sign-off quality. Large experience in characterization and usage. SignalStorm is the default delay calculation based on ECSM. Production proven on 120+tapeouts. Acknowledgements We acknowledge special thanks to Rahul Deokar and Vassilios Gerousis for providing their inputs on this

8 paper work. We are also thankful to Prashant Sethia, Parveen Khurana and Harindranath Parmeswaran for their guidance. References: [1] John F. Croix and D.F.Wong: Blade and Razor: Cell and Interconnect Delay Analysis Using Current- Based Models. IEEE/ACM DAC 2003 proceedings. [2] CCS offers advanced delay calculation methodology EETimes Oct [3] ECSM sets new standard for timing model accuracy EETimes Oct [4] F. Dartu, N.Menezes, J. Qian, and L.T.Pilage: A gate-delay model for high speed CMOS circuits. IEEE/ACM DAC 1994 proceedings. [5] F. Dartu, N.Menezes, and L.T.Pilage Performance computation of precharacterized CMOS gates with RC loads. IEEE/ACM DAC 1996 proceedings. [6] SignalStorm NDC [7] Accurate Multi-Voltage Delay Analysis ( [8] United States Patent: High accuracy timing model for integrated circuit verification, Li, et al. [9] ECSM Library Format( [10] Liberty Reference Manual. [11] SignalStorm ( [12] SignalStorm Nanometer Delay Calculator( [13] Timing models present another standards test( [14] EDA vendors spar over current-source modeling(

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

CharFlo-Cell! Cell! Next-Generation Solution for Characterizing and Modeling Standard Cell and I/O Library

CharFlo-Cell! Cell! Next-Generation Solution for Characterizing and Modeling Standard Cell and I/O Library CharFlo-Cell! Cell! TM Next-Generation Solution for Characterizing and Modeling Standard Cell and I/O Library Agenda Introduction The Flow of CharFlo-Cell! The Applications and Features BiSection Methods

More information

Principles of Current Source Modeling

Principles of Current Source Modeling Principles of Current Source Modeling Dipl.-Ing. Christoph Knoth Outline Brief Introduction Evolution of Timing Models Current Source Models Basics Characterization Implementation Application Summary 2

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Interconnect Delay Compensation in Timing Analysis for. Designs Containing Multiple Voltage Domains

Interconnect Delay Compensation in Timing Analysis for. Designs Containing Multiple Voltage Domains Interconnect Delay Compensation in Timing Analysis for Designs Containing Multiple oltage Domains Incentia Design Systems, Inc. 1. Introduction A timing signal may flow from one voltage domain to another

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

43.2. Figure 1. Interconnect analysis using linear simulation and superposition

43.2. Figure 1. Interconnect analysis using linear simulation and superposition 43.2 Driver Modeling and Alignment for Worst-Case Delay Noise Supamas Sirichotiyakul, David Blaauw, Chanhee Oh, Rafi Levy*, Vladimir Zolotov, Jingyan Zuo Motorola Inc. Austin, TX, *Motorola Semiconductor

More information

Accurate and Efficient Macromodel of Submicron Digital Standard Cells

Accurate and Efficient Macromodel of Submicron Digital Standard Cells Accurate and Efficient Macromodel of Submicron Digital Standard Cells Cristiano Forzan, Bruno Franzini and Carlo Guardiani SGS-THOMSON Microelectronics, via C. Olivetti, 2, 241 Agrate Brianza (MI), ITALY

More information

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Authors: Rick Brooks, Cisco, ricbrook@cisco.com Jane Lim, Cisco, honglim@cisco.com Udupi Harisharan, Cisco,

More information

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers Accurate Timing and Power Characterization of Static Single-Track Full-Buffers By Rahul Rithe Department of Electronics & Electrical Communication Engineering Indian Institute of Technology Kharagpur,

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis

Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Masanori Hashimoto Dept. Communications & Computer Engineering Kyoto University hasimoto@i.kyoto-u.ac.jp Yuji Yamada Dept. Communications

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms *

A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms * A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms * Hanif Fatemi Shahin Nazarian Massoud Pedram EE-Systems Dept., University of Southern California Los Angeles, CA

More information

ECE 551: Digital System Design & Synthesis

ECE 551: Digital System Design & Synthesis ECE 551: Digital System Design & Synthesis Lecture Set 9 9.1: Constraints and Timing 9.2: Optimization (In separate file) 03/30/03 1 ECE 551 - Digital System Design & Synthesis Lecture 9.1 - Constraints

More information

Characterization and Variation Modeling for 22FDX. Ning Jin Digital Design Methodology Team

Characterization and Variation Modeling for 22FDX. Ning Jin Digital Design Methodology Team Characterization and Variation Modeling for 22FDX Ning Jin Digital Design Methodology Team Agenda 1 2 3 4 Introduction to 22FDX Technology Library Characterization in Liberate and Variety Library Characterization

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

ISSN:

ISSN: 1391 DESIGN OF 9 BIT SAR ADC USING HIGH SPEED AND HIGH RESOLUTION OPEN LOOP CMOS COMPARATOR IN 180NM TECHNOLOGY WITH R-2R DAC TOPOLOGY AKHIL A 1, SUNIL JACOB 2 1 M.Tech Student, 2 Associate Professor,

More information

AC Characteristics of MM74HC High-Speed CMOS

AC Characteristics of MM74HC High-Speed CMOS AC Characteristics of MM74HC High-Speed CMOS When deciding what circuits to use for a design, speed is most often a very important criteria. MM74HC is intended to offer the same basic speed performance

More information

Andrew Clinton, Matt Liberty, Ian Kuon

Andrew Clinton, Matt Liberty, Ian Kuon Andrew Clinton, Matt Liberty, Ian Kuon FPGA Routing (Interconnect) FPGA routing consists of a network of wires and programmable switches Wire is modeled with a reduced RC network Drivers are modeled as

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

Advanced Techniques for Using ARM's Power Management Kit

Advanced Techniques for Using ARM's Power Management Kit ARM Connected Community Technical Symposium Advanced Techniques for Using ARM's Power Management Kit Libo Chang( 常骊波 ) ARM China 2006 年 12 月 4/6/8 日, 上海 / 北京 / 深圳 Power is Out of Control! Up to 90nm redu

More information

Emulating and Diagnosing IR-Drop by Using Dynamic SDF

Emulating and Diagnosing IR-Drop by Using Dynamic SDF Emulating and Diagnosing IR-Drop by Using Dynamic SDF Ke Peng *, Yu Huang **, Ruifeng Guo **, Wu-Tung Cheng **, Mohammad Tehranipoor * * ECE Department, University of Connecticut, {kpeng, tehrani}@engr.uconn.edu

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Shao-Hui Shieh and Ming-En Lee Department of Electronic Engineering, National Chin-Yi University of Technology, ssh@ncut.edu.tw, s497332@student.ncut.edu.tw

More information

The Need for Gate-Level CDC

The Need for Gate-Level CDC The Need for Gate-Level CDC Vikas Sachdeva Real Intent Inc., Sunnyvale, CA I. INTRODUCTION Multiple asynchronous clocks are a fact of life in today s SoC. Individual blocks have to run at different speeds

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

±15kV ESD-Protected, 3.0V to 5.5V, Low-Power, up to 250kbps, True RS-232 Transceiver

±15kV ESD-Protected, 3.0V to 5.5V, Low-Power, up to 250kbps, True RS-232 Transceiver 19-1949; Rev ; 1/1 ±15k ESD-Protected, 3. to 5.5, Low-Power, General Description The is a 3-powered EIA/TIA-232 and.28/.24 communications interface with low power requirements, high data-rate capabilities,

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

MDLL & Slave Delay Line performance analysis using novel delay modeling

MDLL & Slave Delay Line performance analysis using novel delay modeling MDLL & Slave Delay Line performance analysis using novel delay modeling Abhijith Kashyap, Avinash S and Kalpesh Shah Backplane IP division, Texas Instruments, Bangalore, India E-mail : abhijith.r.kashyap@ti.com

More information

Design of digital cmos circuits by Using Standard Cell Library for high performance

Design of digital cmos circuits by Using Standard Cell Library for high performance ISSN: 2278 1323 All Rights Reserved 2014 IJARCET 3564 International Journal of Advanced Research in Computer Engineering & (IJARCET) Design of digital cmos circuits by Using Standard Cell Library for high

More information

ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014

ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014 ICCAD 2014 Contest Incremental Timing-driven Placement: Timing Modeling and File Formats v1.1 April 14 th, 2014 http://cad contest.ee.ncu.edu.tw/cad-contest-at-iccad2014/problem b/ 1 Introduction This

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Application Note. Signal Integrity Modeling. SCSI Connector and Cable Modeling from TDR Measurements

Application Note. Signal Integrity Modeling. SCSI Connector and Cable Modeling from TDR Measurements Application Note SCSI Connector and Cable Modeling from TDR Measurements Signal Integrity Modeling SCSI Connector and Cable Modeling from TDR Measurements Dima Smolyansky TDA Systems, Inc. http://www.tdasystems.com

More information

Dynamic Threshold for Advanced CMOS Logic

Dynamic Threshold for Advanced CMOS Logic AN-680 Fairchild Semiconductor Application Note February 1990 Revised June 2001 Dynamic Threshold for Advanced CMOS Logic Introduction Most users of digital logic are quite familiar with the threshold

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

TFA: A Threshold-Based Filtering Algorithm for Propagation Delay and Output Slew Calculation of High-Speed VLSI Interconnects

TFA: A Threshold-Based Filtering Algorithm for Propagation Delay and Output Slew Calculation of High-Speed VLSI Interconnects TFA: A Threshold-Based Filtering Algorithm for Propagation Delay and Output Slew Calculation of High-Speed VLSI Interconnects S. Abbaspour, A.H. Ajami *, M. Pedram, and E. Tuncer * Dept. of EE Systems,

More information

Worst-Case Aggressor-Victim Alignment with Current-Source Driver Models

Worst-Case Aggressor-Victim Alignment with Current-Source Driver Models 3.1 Worst-Case Aggressor-Victim Alignment with Current-Source Driver Models Ravikishore Gandikota University of Michigan Li Ding Synopsys, CA David Blaauw University of Michigan Peivand Tehrani Synopsys,

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

Appendix. RF Transient Simulator. Page 1

Appendix. RF Transient Simulator. Page 1 Appendix RF Transient Simulator Page 1 RF Transient/Convolution Simulation This simulator can be used to solve problems associated with circuit simulation, when the signal and waveforms involved are modulated

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

PAPER Setup Time, Hold Time and Clock-to-Q Delay Computation under Dynamic Supply Noise

PAPER Setup Time, Hold Time and Clock-to-Q Delay Computation under Dynamic Supply Noise 1948 IEICE TRANS. FUNDAMENTALS, VOL.E94 A, NO.10 OCTOBER 2011 PAPER Setup Time, Hold Time and Clock-to-Q Delay Computation under Dynamic Supply Noise Takaaki OKUMURA, a) and Masanori HASHIMOTO, Members

More information

LSI Design Flow Development for Advanced Technology

LSI Design Flow Development for Advanced Technology LSI Design Flow Development for Advanced Technology Atsushi Tsuchiya LSIs that adopt advanced technologies, as represented by imaging LSIs, now contain 30 million or more logic gates and the scale is beginning

More information

Guaranteeing Silicon Performance with FPGA Timing Models

Guaranteeing Silicon Performance with FPGA Timing Models white paper Intel FPGA Guaranteeing Silicon Performance with FPGA Timing Models Authors Minh Mac Member of Technical Staff, Technical Services Intel Corporation Chris Wysocki Senior Manager, Software Englineering

More information

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li Design Service Division, GLOBAL UNICHIP CORP., Taiwan, ROC Xiaopeng

More information

An Interconnect-Centric Approach to Cyclic Shifter Design

An Interconnect-Centric Approach to Cyclic Shifter Design An Interconnect-Centric Approach to Cyclic Shifter Design Haikun Zhu, Yi Zhu C.-K. Cheng Harvey Mudd College. David M. Harris Harvey Mudd College. 1 Outline Motivation Previous Work Approaches Fanout-Splitting

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC06 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC06 74HC/HCT/HCU/HCMOS Logic Package Information The IC06 74HC/HCT/HCU/HCMOS

More information

±15kV ESD-Protected, 460kbps, 1µA, RS-232-Compatible Transceivers in µmax

±15kV ESD-Protected, 460kbps, 1µA, RS-232-Compatible Transceivers in µmax 19-191; Rev ; 1/1 ±15kV ESD-Protected, 6kbps, 1µA, General Description The are low-power, 5V EIA/TIA- 3-compatible transceivers. All transmitter outputs and receiver inputs are protected to ±15kV using

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

Measurement of Laddering Wave in Lossy Serpentine Delay Line

Measurement of Laddering Wave in Lossy Serpentine Delay Line International Journal of Applied Science and Engineering 2006.4, 3: 291-295 Measurement of Laddering Wave in Lossy Serpentine Delay Line Fang-Lin Chao * Department of industrial Design, Chaoyang University

More information

TIMING ANALYSIS OF INTEGRATED CIRCUITS

TIMING ANALYSIS OF INTEGRATED CIRCUITS UNIVERSITY OF THESSALY SCHOOL OF ENGINEERING Department of Computer & Communication Engineering TIMING ANALYSIS OF INTEGRATED CIRCUITS Master Thesis : Alexandros Mittas Lazaridis July 2012 Volos - Greece

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

FACT Descriptions and Family Characteristics

FACT Descriptions and Family Characteristics November 1988 Revised January 2000 FACT Descriptions and Family Characteristics Fairchild Semiconductor Advanced CMOS Technology FACT Logic Fairchild Semiconductor introduced FACT (Fairchild Advanced CMOS

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Department of Electrical and Computer Systems Engineering

Department of Electrical and Computer Systems Engineering Department of Electrical and Computer Systems Engineering Technical Report MECSE-31-2005 Asynchronous Self Timed Processing: Improving Performance and Design Practicality D. Browne and L. Kleeman Asynchronous

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs International Academic Institute for Science and Technology International Academic Journal of Science and Engineering Vol. 2, No., 201, pp. 29-. ISSN 2-9 International Academic Journal of Science and Engineering

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below. Rasit Onur Topaloglu, Ph.D.

3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below. Rasit Onur Topaloglu, Ph.D. 3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below Rasit Onur Topaloglu, Ph.D. Outline Introduction and Motivation Impact of Contact Resistance Test Structures for Contact

More information

Impedance Matching: Terminations

Impedance Matching: Terminations by Barry Olney IN-CIRCUIT DESIGN PTY LTD AUSTRALIA column BEYOND DESIGN Impedance Matching: Terminations The impedance of the trace is extremely important, as any mismatch along the transmission path will

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

The Digital Abstraction

The Digital Abstraction The Digital Abstraction 1. Making bits concrete 2. What makes a good bit 3. Getting bits under contract 1 1 0 1 1 0 0 0 0 0 1 Handouts: Lecture Slides, Problem Set #1 L02 - Digital Abstraction 1 Concrete

More information

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS

SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS INTERNATIONAL JOURNAL OF RESEARCH IN COMPUTER APPLICATIONS AND ROBOTICS ISSN 2320-7345 SIGNED PIPELINED MULTIPLIER USING HIGH SPEED COMPRESSORS 1 T.Thomas Leonid, 2 M.Mary Grace Neela, and 3 Jose Anand

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY

DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY Silpa Kesav 1, K.S.Nayanathara 2 and B.K. Madhavi 3 1,2 (ECE, CVR College of Engineering, Hyderabad, India) 3 (ECE, Sridevi Women s Engineering

More information

Gate Delay Estimation in STA under Dynamic Power Supply Noise

Gate Delay Estimation in STA under Dynamic Power Supply Noise Gate Delay Estimation in STA under Dynamic Power Supply Noise Takaaki Okumura *, Fumihiro Minami *, Kenji Shimazaki *, Kimihiko Kuwada *, Masanori Hashimoto ** * Development Depatment-, Semiconductor Technology

More information

A Bottom-Up Approach to on-chip Signal Integrity

A Bottom-Up Approach to on-chip Signal Integrity A Bottom-Up Approach to on-chip Signal Integrity Andrea Acquaviva, and Alessandro Bogliolo Information Science and Technology Institute (STI) University of Urbino 6029 Urbino, Italy acquaviva@sti.uniurb.it

More information

IBIS Data for CML,PECL and LVDS Interface Circuits

IBIS Data for CML,PECL and LVDS Interface Circuits Application Note: HFAN-06.2 Rev.1; 04/08 IBIS Data for CML,PECL and LVDS Interface Circuits AVAILABLE IBIS Data for CML,PECL and LVDS Interface Circuits 1 Introduction The integrated circuits found in

More information

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic

Sophisticated design of low power high speed full adder by using SR-CPL and Transmission Gate logic Scientific Journal of Impact Factor(SJIF): 3.134 International Journal of Advance Engineering and Research Development Volume 2,Issue 3, March -2015 e-issn(o): 2348-4470 p-issn(p): 2348-6406 Sophisticated

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011 Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design Sonnet Application Note: SAN-201B July 2011 Description of Sonnet Suites Professional Sonnet Suites Professional is an industry leading full-wave

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012 Si Photonics Technology Platform for High Speed Optical Interconnect Peter De Dobbelaere 9/17/2012 ECOC 2012 - Luxtera Proprietary www.luxtera.com Overview Luxtera: Introduction Silicon Photonics: Introduction

More information

A Novel Hybrid Full Adder using 13 Transistors

A Novel Hybrid Full Adder using 13 Transistors A Novel Hybrid Full Adder using 13 Transistors Lee Shing Jie and Siti Hawa binti Ruslan Department of Electrical and Electronic Engineering, Faculty of Electric & Electronic Engineering Universiti Tun

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC0 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC0 74HC/HCT/HCU/HCMOS Logic Package Information The IC0 74HC/HCT/HCU/HCMOS

More information

if the conductance is set to zero, the equation can be written as following t 2 (4)

if the conductance is set to zero, the equation can be written as following t 2 (4) 1 ECEN 720 High-Speed Links: Circuits and Systems Lab1 - Transmission Lines Objective To learn about transmission lines and time-domain reflectometer (TDR). Introduction Wires are used to transmit clocks

More information

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis Microcontroller Systems ELET 3232 Topic 13: Load Analysis 1 Objective To understand hardware constraints on embedded systems Define: Noise Margins Load Currents and Fanout Capacitive Loads Transmission

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

Microelectronics Journal

Microelectronics Journal Microelectronics Journal 43 (12) 119 127 Contents lists available at SciVerse ScienceDirect Microelectronics Journal journal homepage: www.elsevier.com/locate/mejo Utilizing interdependent timing constraints

More information

Exploring the Basics of AC Scan

Exploring the Basics of AC Scan Page 1 of 8 Exploring the Basics of AC Scan by Alfred L. Crouch, Inovys This in-depth discussion of scan-based testing explores the benefits, implementation, and possible problems of AC scan. Today s large,

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem A report Submitted to Canopus Systems Inc. Zuhail Sainudeen and Navid Yazdi Arizona State University July 2001 1. Overview

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver

Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver Chapter 3 Novel Digital-to-Analog Converter with Gamma Correction for On-Panel Data Driver 3.1 INTRODUCTION As last chapter description, we know that there is a nonlinearity relationship between luminance

More information

USE GAL DEVICES FOR NEW DESIGNS

USE GAL DEVICES FOR NEW DESIGNS PALLV22V PALLV22VZ COM'L: -7//5 IND: -5 IND: -25 PALLV22V and PALLV22VZ Families Low-Voltage (Zero Power) 24-Pin EE CMOS Versatile PAL Device DISTINCTIVE CHARACTERISTICS Low-voltage operation, 3.3 V JEDEC

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

A 12-bit Hybrid DAC with Swing Reduced Driver

A 12-bit Hybrid DAC with Swing Reduced Driver IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 2 (Sep. Oct. 2013), PP 35-39 e-issn: 2319 4200, p-issn No. : 2319 4197 A 12-bit Hybrid DAC with Swing Reduced Driver Muneswaran Suthaskumar

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information