L18. Digitaalsüsteemide automaatprojekteerimine,

Size: px
Start display at page:

Download "L18. Digitaalsüsteemide automaatprojekteerimine,"

Transcription

1 Digitaalsüsteemide automaatprojekteerimine J.F. Wakerly Digital Design: Principles and Practices - 1.5, L18. Digitaalsüsteemide automaatprojekteerimine, sünteesi etapid. L19. VHDL ja süntees. Süsteemitasemesüntees. L20. Füüsikalise taseme projekteerimine. Keerukate süsteemide iseärasused. 1 Digitaalsüsteemi loomine Tootlikkuse puudujääk (productivity gap) tehnoloogia võimaluste kasv 58% aastas projekteerija jõudluse kasv 21% aastas Kuidas sellega hakkama saada? Vaadelda süsteemi kui tervikut ülesande püstitamine riist- ja tarkvara koosdisain Olemasolevate lahenduste kasutamine olemasolevad algoritmid (tarkvara teegid) olemasolevad moodulid (riistvara teegid ) IP-plokid [ IP = Intellectual Property ] Projekteerimine on jagatud sammudeks 2 1

2 Abstraktsioonitasemed Süsteemi tase moodulid & infokanalid Algoritmi tase alam-moodulid & protokollid Register-siirete (RT) tase ALS-d, registrid & siinid Loogikatase skeem loogikaelementidest Füüsikatase skeem transistoridest kristalli pinnalaotus 3 Sünteesi tasemed Süsteemi tase Algoritmi tase süntees Käitumuslik mõõde Register-siirete tase süsteemi spetsifikatsioon analüüs täpsustaminealgoritm Loogika tase register-siirete kirjeldus loogika-avaldised Skeemi tase diferentsiaalvõrrandid Füüsikaline mõõde Struktuurne mõõde CPU, mälu protsessor, alamsüsteem ALU, register, MUX loogikalüli, triger transistor optimeerimine abstraheerimine ristkülik / polügon genereerimine std.element / alam-element makro-element ekstraheerimine plokk / kiip kiip / trükkplaat 4 2

3 Sünteesi tasemed Tase Abstraktsioon Vahendid Süsteem Käitumine ruumis ja ajas, väljaviigud, ajalised piirangud Plokk-skeemid, diagrammid, kõrgtaseme keeled Arhitektuur Funktsionaalsete üksuste üldine jaotus HDL-d, pinnaplaneeringu vahendid ennustamiseks Registersiire Andmevoo sidumine sõlmede ja mikrokäskudega Süntees, simuleerimine, resursside kasutamine Funktsionaalsed moodulid Primitiivsed operatsioonid ja juhtimisvahendid Teegid, moodulite generaatorid, skeemisisestus Loogika Loogikafunktsioonid, skeemid loogikaelementidest Skeemisisestus, süntees ja simuleerimine, test Lülitus Transistorskeemide elektrilised omadused RC ekstraheerimine, ajastuse kontroll ja analüüs Pinnalaotus Geomeetrilised parameetrid Pinnalaotuse redaktor, DRC, laotus ja trasseerimine 5 Sünteesi-süsteemid Süsteemi süntees Liideste süntees Kõrgtaseme süntees Formaalne süntees Registersiirete taseme süntees Loogika süntees Testi süntees Füüsikaline süntees 6 3

4 Sünteesi võlud Automatiseerimine võimaldab vähendada projekteerimiskulusid kiirendada projekteerimist uusimate tehnoloogiate kasutamist formaliseeritus rohkem võimalikke lahendusvariante vähem vigu projekteerimisel 7 Otsustused sünteesil Loogikatase tuhanded sõlmed mõni realiseerimisviis Register-siirete tase sajad sõlmed kümned realiseerimisviisid Käitumuslik ja süsteemi tase kümned sõlmed sajad realiseerimisviisid 8 4

5 Sünteesi valud Loogikatase teisendus mõjutab ainult lähinaabreid lihtsad teisendusalgoritmid on ka efektiivsed Register-siirete tase teisenduse mõjutab suurem Käitumuslik ja süsteemi tase teisendus mõjutab praktiliselt kogu süsteemi puuduvad universaalsed (ja efektiivsed) teisendus-algoritmid 9 Ränikompileerimine Kõrgtaseme süntees sisendiks riistvara kirjelduskeel väljundiks operatsioonide järjestus (mikro)programm väljundiks mooduli arhitektuur Tarkvara süntees (kompileerimine) sisendiks kõrgtaseme keel väljundiks operatsioonide järjestus (assembler) programm 10 5

6 Disaini / sünteesi etapid Ideest realisatsioonini / töötava mudelini Simuleeritava spetsifikatsioon loomine idee korrektsuse kontroll Spetsifikatsiooni tükeldamine hallatavus ja korduvkasutatavus Algoritmide täpsustamine arhitektuursete lahenduste valimine Spetsifikatsiooni teisendamine skeemiks süntees kitsamas tähenduses Realiseerimine / Prototüüpimine 11 Süntees ~~ Tükeldamine Digitaalsüsteem = juhtosa + andmeosa + liides + mälusüsteem control control PE C M PE C M control control control PE C M PE C M PE C M 12 6

7 Esitusviisid Algoritmid programmeerimiskeeled funktsionaalne tükeldamine algoritmi ja andmete täpsustamine riistvara kirjelduskeeled struktuursed, käitumuslikud ja sünteesitavad keeled plokk-diagrammid ja nende sarnased StateChart, Behavioral FSM, jne. 13 Abstraktsed mudelid Struktuurid naabrusmaatriks ahelaloend (netlist) lihtne esitada hierarhiat n1 p1 m1 p4 p6 m1 m2 m3 p2 n2 n3 p7 n1 n2 n3 m2 p3 p5 m3 m1 m2 m3 Loogikavõrkgraafid struktuur + käitumine kombinatoorne sünkroonne a b c a b c p=ac p q q=p+c x y x y 14 7

8 Abstraktsed mudelid Automaadid Andmevoograafid x1=a+b; x2=x1*c; x3=d+e; x4=x3*f; x=x2+x4; otsene sõltuvus operatsioonide (ülesannete) vahel c a + b * * f d + e kontrollsõltuvused? + x 15 Abstraktsed mudelid DFG - Data-Flow Graph CFG - Control-Flow Graph CDFG - Control-and-Data-Flow Graph nop Hierarhia * * Kontrollsõltuvused call / return hargnemised tsüklid + nop br * nop nop + nop nop nop 16 8

9 Näiteülesanne Valgusfoori kontroller vt. ka sidestreet_light highway_car highway_light sidestreet_car 17 Vahendid Xilinx ISE (Xilinx, Inc., simulaator + süntesaator XSA-3S1000 (XESS Corp.,

10 VHDL-st skeemini Ideest mudelini modelleeritav spetsifikatsioon käitumuslik VHDL Mudelist struktuurini struktuur register-siirete tasemel sünteesitav VHDL Struktuurist skeemini loogikaelemendid + ühendused sünteesi juhtimine Iteratsioonid! 19 Valgusfoori kontroller Kiirtee ja kõrvaltee auto sensorid highway_car & sidestreet_car valusfoorid highway_light & sidestreet_light Tulede kombinatsioonid & lülitumiste järjekorrad kiirtee kõrvaltee kestus 1 roheline punane piiramata 2 vilkuv roheline punane 3 sek. 3 kollane kollane 2 sek. 4 punane roheline maksimaalselt 10 sek. 5 punane vilkuv roheline 3 sek. 6 kollane kollane 2 sek. Ooteajad: autole kõrvalteel mitte üle 30 sekundi, kui kiirteel on autosid roheline kõrvalteele 10 sekundit (pluss 3 vilkuvat rohelist) 20 10

11 Ideest mudelini Modelleeritav spetsifikatsioon käitumuslik VHDL Liidese ja andmetüüpide deklareerimine abstraktsed andmetüübid Käitumusliku spetsifikatsiooni loomine kontrollvoog & keerukad ajakontrolli käsud Testkeskkonna loomine, simuleerimine lihtne testimine kiire, kuid ebapiisav... põhjalik testimine keerukas ja aeglane Ideest mudelini Andmetüübid (pkg-enum.vhd) package TLC_data_types is -- Sensors type Sensor is (NoCar, Car); -- Lights type Light is (Red, Yellow, Green, GreenBlink); end TLC_data_types; Olem (tlc-entity.vhd) use work.tlc_data_types.all; entity TLC is port ( highway_car : in Sensor; sidestreet_car : in Sensor; highway_light : out Light := Red; sidestreet_light : out Light := Red ); end TLC; 22 11

12 Ideest mudelini Kontrollvoog & ajakontrolli käsud Waiting for no more than 25 seconds... if highway_car = Car then wait until highway_car = NoCar for 25 sec; end if; vt. tlc-bhv.vhd Testimine kiire vali olem ja forsseeri signaalid [signals] sidestreet_car -> Edit -> Force raske kontrollida kriitilisi olukordi 23 Ideest mudelini Testimine põhjalikum testkeskkond fikseeritud autode saabumisjadaga (vt. tlc-qtst.vhd) universaalne testkeskkond muudetava autode liikumisjadaga (vt. tlc-tst.vhd) Failid pkg-enum.vhd cfg-[q]bhv.vhd tlc-[q]tst.vhd tlc-entity.vhd tlc-bhv.vhd (1) pakett TLC_data_types (5) konfiguratsioon (4) testkeskkond (2) olem TLC (3) arhitektuur BEHAVIOR 24 12

13 Simuleerimine (Modelsim) Andmed loendustüüp 25 Mudelist struktuurini Struktuur register-siirete tasemel sünteesitav VHDL (1) Riistvaralised andmetüübid loendusandmetüübid > bititüübid (2) Käitumise täpsustamine #1 vilkuv roheline (3) Käitumise täpsustamine #2 taimer (2 Hz) ja loogika vilgutamiseks ajakontrollikäsud > sünk.käsud+taimer (4) Sünteesitav VHDL (& struktuur) 26 13

14 Riistvaralised andmetüübid Andmetüübid (pkg-bitv.vhd) package TLC_data_types is -- Sensors subtype Sensor is bit; constant NoCar : Sensor := '0'; constant Car : Sensor := '1'; -- Lights -- "red" - bit 0, i.e., the uppermost light -- "yellow" - bit 1, i.e., the middle light -- "green" - bit 2, i.e., the lowermost light subtype Light is BIT_VECTOR (0 to 2); constant Red : Light := "100"; constant Yellow : Light := "010"; constant Green : Light := "001"; constant GreenBlink : Light := "000"; end TLC_data_types; 27 Riistvaralised andmetüübid Testimine identne esialgsega Failid pkg-bitv.vhd cfg-[q]bhv.vhd tlc-[q]tst.vhd tlc-entity.vhd tlc-bhv.vhd (1) pakett TLC_data_types (5) konfiguratsioonid (4) testkeskkonnad (2) olem TLC (3) arhitektuur BEHAVIOR Kõik uuesti kompileerida! 28 14

15 Simuleerimine (Modelsim) Andmed bit ja bit_vector 29 Käitumise täpsustamine #1 Rohelise tule vilkumine täpsustatud highway_light<=greenblink; on asendatud tsükliga wait for 3 sec; for i in 1 to 6 loop highway_light <= GreenBlink; wait for 0.25 sec; highway_light <= Green; wait for 0.25 sec; end loop; Uued failid cfg-bhv2.vhd tlc-bhv2.vhd konfiguratsioon (pikk test) arhitektuur bhv_refined 30 15

16 Simuleerimine (Modelsim) Täpsustatud rohelise tule vilkumine 31 Käitumise täpsustamine #2 Esmane tükeldamine neljaks alamosaks: (1) Taimeri taktsignaal sagedusega 2 Hz (määratud rohelise tule vilkumissagedusega), kasutatav ka süsteemse taktina (2) ja (3) Sama-aegne protseduur vilkuvat roheliset tekitava kombinatoorse loogika jaoks (4) Kontrollvoog, kuhu on sisse toodud taktsignaal (timer) ja ajakontrolli käsud on asendatud sünkroniseerimiskäskude ja loenduri kombinatsiooniga Protseduur WaitFor asendamaks wait for käske 32 16

17 Käitumise täpsustamine #2 Teisendusi -- Waiting for no more than 25 seconds... if highway_car = Car then wait until highway_car = NoCar for 25 sec; end if; on asendatud -- Waiting for no more than 25 seconds... if highway_car = Car then for counter in 0 to 49 loop seconds exit when highway_car = NoCar; wait on timer until timer='1'; end loop; end if; 33 Uued failid Käitumise täpsustamine #2 cfg-bhv-rtl.vhd tlc-bhv-rtl.vhd konfiguratsioon (pikk test) arhitektuur bhv_rtl Simuleerimine on oluliselt aeglasem Aeg vaja paikka panna run 400 sec Kontrollvoole vastav protsess on põhimõtteliselt sünteesitav kõrgtasemesüntesaatori poolt Vajalikud võivad olla konkreetse sünteesivahendi iseärasustest tingitud muudatused 34 17

18 Simuleerimine (Modelsim) Esmane struktuur + taktsignaalid 35 Sünteesitav VHDL Registersiirete tasemele vastav kirjeldus Sünteesitav enamike sünteesivahendite poolt (võib vajada pisitäpsustusi) nt. teisendus integer range 0 to 63 -> unsigned(5 downto 0) (IEEE 1164) Struktuur esialgse tükeldamise täpsustus Täiendavad signaalid (nt. reset) Kontrollvoog on asendatud automaadi ja loenduriga 36 18

19 Sünteesitav VHDL Komponendid (1) Arhitektuur RTL, mis seob komponendid (fail tlc-rtl.vhd ). Sünteesitav (RTL mõistes). (2) Taktgeneraator (olem clock(bhv) failis tlc-rtl.vhd ). Genereerib taimeri 2 Hz signaali, süsteemse taktsignaali (100 Hz) ja algnullimissignaali. (3) Vilkuvat rohelist tekitav kombinatoorne loogika (olem BlinkLights(bhv) failis tlc-rtl-blnk.vhd ). Sünteesitav. (4) Kontroller automaadi ja loendurina (olem Controller(RTL) failis tlc-rtl-ctrl.vhd ). Sünteesitav. 37 Sünteesitav VHDL Clock TLC Controller BlinkLights BlinkLights highway sidestreet state highway_light_sgn sidestreet_light_sgn highway_light_new sidestreet_light_new next_state highway_car sidestreet_car reset timer clk f-n reset_counter reg cnt counter_out highway_light sidestreet_light Controller(RTL) 38 19

20 Sünteesitav VHDL VHDL konstruktsioone automaadi olek -- FSM state type state_type is (highway_green, highway_wait_25sec, highway_wait_25sec_loop,... sidestreet_yellow, sidestreet_yellow_loop); signal state, next_state: state_type; abisignaalid register->komb.loogika: highway_light_sgn komb.loogika-> register: highway_light_new taimeri väärtus & nullimine 39 Sünteesitav VHDL VHDL konstruktsioone next_state <= state; case state is Waiting for no more than 25 seconds... when highway_wait_25sec => if highway_car=nocar then next_state <= highway_blink; end if; reset_counter <= '1'; next_state <= highway_wait_25sec_loop; when highway_wait_25sec_loop => if highway_car=nocar or counter_out >= 50 then next_state <= highway_blink; end if;

21 Uued failid cfg-[q]rtl.vhd tlc-rtl.vhd tlc-rtl-blnk.vhd tlc-rtl-ctrl.vhd Sünteesitav VHDL konfiguratsioonid arhitektuur RTL vilkuv roheline [BinkLights(bhv)] kontroller+taimer [Controller(RTL)] Simuleerimine on oluliselt aeglasem Aeg vaja paikka panna run 50 sec run 400 sec [konfiguratsioon tlc-qrtl ] [konfiguratsioon tlc-rtl ] 41 Simuleerimine (Modelsim) Sünteesitav VHDL + kiire testpink 42 21

22 Süntees & prototüüpimine Taktsignaal & taimer XSA plaadi taktigeneraator - 10 MHz 2 Hz genereerimine taktsignaalist 22-bitine loendur --> 4* > 2,38 Hz architecture behave of timer is signal count: std_logic_vector (21 downto 0); begin process begin wait on clk until clk='1'; count <= count + '1'; end process; blink <= To_Bit ( count (count'high) ); end behave; 43 Süntees & prototüüpimine Väljaviikude määramine XSA plaadi piirangud Uued failid tlc-rtl-fpga.vhd tlc-rtl-timer.vhd Etapid arhitektuur RTL_fpga 2 Hz taimer [Timer(behave)] süntees (RTL kirjeldus --> CLB) paigaldus & trasseerimine FPGA konfiguratsiooni genereerimine 45 moodulit 768-st (5%) & 112 Mhz 44 22

23 WebPACK / ISE 45 FPGA sisu 46 23

24 FPGA detailsem sisu 47 Hilisemad muudatused Täiustatud taimer 10 MHz / ja 0,5-ne täitetegur (fail "tlc-rtl-timer2.vhd") Muudetud pakett "TLC_data_types kollase ja rohelise tule korraga põlemine (fail "pkg-bitv2.vhd") Muudetud kontroller 2 sek. vilkuv roheline, 3 sek. põlev kollane ja kollane põleb koos punasega enne rohelist (fail "tlc-rtl-ctrl2.vhd") 48 24

UNIVERSITI MALAYSIA PERLIS

UNIVERSITI MALAYSIA PERLIS UNIVERSITI MALAYSIA PERLIS SCHOOL OF COMPUTER & COMMUNICATIONS ENGINEERING EKT303/4 PRINCIPLES OF COMPUTER ARCHITECTURE LAB 5 : STATE MACHINE DESIGNS IN VHDL LAB 5: Finite State Machine Design OUTCOME:

More information

Types of Control. Programmed Non-programmed. Program Counter Hardwired

Types of Control. Programmed Non-programmed. Program Counter Hardwired Lecture #5 In this lecture we will introduce the sequential circuits. We will overview various Latches and Flip Flops (30 min) Give Sequential Circuits design concept Go over several examples as time permits

More information

Ring Counter. 4-bit Ring Counter using D FlipFlop. VHDL Code for 4-bit Ring Counter and Johnson Counter 1. Contents

Ring Counter. 4-bit Ring Counter using D FlipFlop. VHDL Code for 4-bit Ring Counter and Johnson Counter 1. Contents VHDL Code for 4-bit Ring Counter and Johnson Counter 1 Contents 1 Ring Counter 2 4-bit Ring Counter using D FlipFlop 3 Ring Counter Truth Table 4 VHDL Code for 4 bit Ring Counter 5 VHDL Testbench for 4

More information

A-PDF Split DEMO : Purchase from to remove the watermark 114 FSM

A-PDF Split DEMO : Purchase from   to remove the watermark 114 FSM A-PDF Split DEMO : Purchase from www.a-pdf.com to remove the watermark 114 FSM Xilinx specific Xilinx ISE includes a utility program called StateCAD, which allows a user to draw a state diagram in graphical

More information

CSE 260 Digital Computers: Organization and Logical Design. Midterm Solutions

CSE 260 Digital Computers: Organization and Logical Design. Midterm Solutions CSE 260 Digital Computers: Organization and Logical Design Midterm Solutions Jon Turner 2/28/2008 1. (10 points). The figure below shows a simulation of the washu-1 processor, with some items blanked out.

More information

EE382V-ICS: System-on-a-Chip (SoC) Design

EE382V-ICS: System-on-a-Chip (SoC) Design EE38V-CS: System-on-a-Chip (SoC) Design Hardware Synthesis and Architectures Source: D. Gajski, S. Abdi, A. Gerstlauer, G. Schirner, Embedded System Design: Modeling, Synthesis, Verification, Chapter 6:

More information

Four-Way Traffic Light Controller Designing with VHDL

Four-Way Traffic Light Controller Designing with VHDL Four-Way Traffic Light Controller Designing with VHDL Faizan Mansuri Email:11bec024@nirmauni.ac.in Viraj Panchal Email:11bec047@nirmauni.ac.in Department of Electronics and Communication,Institute of Technology,

More information

FPGA Implementation of a Digital Tachometer with Input Filtering

FPGA Implementation of a Digital Tachometer with Input Filtering FPGA Implementation of a Digital Tachometer with Input Filtering Daniel Mic, Stefan Oniga Electrical Department, North University of Baia Mare Dr. Victor Babeş Street 62 a, 430083 Baia Mare, Romania danmic@ubm.ro,

More information

FPGA & Pulse Width Modulation. Digital Logic. Programing the FPGA 7/23/2015. Time Allotment During the First 14 Weeks of Our Advanced Lab Course

FPGA & Pulse Width Modulation. Digital Logic. Programing the FPGA 7/23/2015. Time Allotment During the First 14 Weeks of Our Advanced Lab Course 1.9.8.7.6.5.4.3.2.1.5 1 1.5 2 2.5 3 3.5 4 4.5 5 5.5 6 6.5 DAC Vin 7/23/215 FPGA & Pulse Width Modulation Allotment During the First 14 Weeks of Our Advanced Lab Course Sigma Delta Pulse Width Modulated

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 4, Issue 11, May 2015

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 4, Issue 11, May 2015 Field Programmable Gate Array Based Intelligent Traffic Light System Agho Osarenomase, Faisal Sani Bala, Ganiyu Bakare Department of Electrical and Electronics Engineering, Faculty of Engineering, Abubakar

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter

Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter P. K. Gaikwad Department of Electronics Willingdon College, Sangli, India e-mail: pawangaikwad2003

More information

Basic FPGA Tutorial. using VHDL and VIVADO to design two frequencies PWM modulator system

Basic FPGA Tutorial. using VHDL and VIVADO to design two frequencies PWM modulator system Basic FPGA Tutorial using VHDL and VIVADO to design two frequencies PWM modulator system January 30, 2018 Contents 1 INTRODUCTION........................................... 1 1.1 Motivation................................................

More information

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION Sinan Yalcin and Ilker Hamzaoglu Faculty of Engineering and Natural Sciences, Sabanci University, 34956, Tuzla,

More information

DIGITAL DESIGN WITH SM CHARTS

DIGITAL DESIGN WITH SM CHARTS DIGITAL DESIGN WITH SM CHARTS By: Dr K S Gurumurthy, UVCE, Bangalore e-notes for the lectures VTU EDUSAT Programme Dr. K S Gurumurthy, UVCE, Blore Page 1 19/04/2005 DIGITAL DESIGN WITH SM CHARTS The utility

More information

When to use an FPGA to prototype a controller and how to start

When to use an FPGA to prototype a controller and how to start When to use an FPGA to prototype a controller and how to start Mark Corless, Principal Application Engineer, Novi MI Brad Hieb, Principal Application Engineer, Novi MI 2015 The MathWorks, Inc. 1 When to

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information

High Resolution Pulse Generation

High Resolution Pulse Generation High Resolution Pulse Generation An Application Note for the NS9360 Processor www.digi.com 90001138 2009 Digi International Inc. All Rights Reserved. Digi, Digi International, and the Digi logo are trademarks

More information

Design Methodologies. Design Trade-offs. System Design to Hardware. Design Gap. Speed (throughput and clock frequency) Area and

Design Methodologies. Design Trade-offs. System Design to Hardware. Design Gap. Speed (throughput and clock frequency) Area and Design Trade-offs Design Methodologies Viktor Öwall Dept. of Electrical and Infomation Technology Lund University Parts of this material was adapted from the instructor material to Jan M. Rabaey, Digital

More information

Hardware Design with VHDL Design Example: UART ECE 443

Hardware Design with VHDL Design Example: UART ECE 443 UART Universal Asynchronous Receiver and Transmitter A serial communication protocol that sends parallel data through a serial line. Typically used with RS-232 standard. Your FPGA boards have an RS-232

More information

Configuring CorePWM Using RTL Blocks

Configuring CorePWM Using RTL Blocks Application Note AC284 Introduction This application note describes the configuration of CorePWM using custom RTL blocks. A design example is provided to illustrate how a simple finite state machine (FSM)

More information

EASTERN MEDITERRANEAN UNIVERSITY COMPUTER ENGINEERING DEPARTMENT CMPE224 DIGITAL LOGIC SYSTEMS VHDL EXPERIMENT VII

EASTERN MEDITERRANEAN UNIVERSITY COMPUTER ENGINEERING DEPARTMENT CMPE224 DIGITAL LOGIC SYSTEMS VHDL EXPERIMENT VII EASTERN MEDITERRANEAN UNIVERSITY COMPUTER ENGINEERING DEPARTMENT CMPE224 DIGITAL LOGIC SYSTEMS VHDL EXPERIMENT VII TITLE: VHDL IMPLEMENTATION OF ALGORITHMIC STATE MACHINES OBJECTIVES: VHDL implementation

More information

Midterm Exam ECE 448 Spring Thursday Section. (15 points)

Midterm Exam ECE 448 Spring Thursday Section. (15 points) Midterm Exam ECE 448 Spring 2012 (15 points) Instructions: Zip all your deliverables into an archive .zip and submit it through Blackboard no later than Thursday, March 8, 10:15 PM EST. 1 Introduction:

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

Synthesis Minimizations and Mesh Algorithm Selection: An Extension of the Ultrasonic 3D Camera

Synthesis Minimizations and Mesh Algorithm Selection: An Extension of the Ultrasonic 3D Camera Syracuse University SURFACE Syracuse University Honors Program Capstone Projects Syracuse University Honors Program Capstone Projects Spring 5-1-2009 Synthesis Minimizations and Mesh Algorithm Selection:

More information

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions IEEE ICET 26 2 nd International Conference on Emerging Technologies Peshawar, Pakistan 3-4 November 26 Single Chip FPGA Based Realization of Arbitrary Waveform Generator using Rademacher and Walsh Functions

More information

ACIIR IP CORE IIR FILTERS

ACIIR IP CORE IIR FILTERS IP CORE IIR FILTERS BASIC PAETERS Configurable fixed point IIR filters SP processor architecture 2 s complement arithmetic Parametrisable data and coefficient widths Configurable precision and output scale

More information

Minimal UART core. All the project files were published on the LGPL terms, you must read the GNU Lesser General Public License for more details.

Minimal UART core. All the project files were published on the LGPL terms, you must read the GNU Lesser General Public License for more details. Minimal UART core Author: Arao Hayashida Filho Published on opencores.org 1- Introduction The fundamental idea of this core is implement a very simple UART in VHDL, using less quantity of logic resources,

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

Stratix Filtering Reference Design

Stratix Filtering Reference Design Stratix Filtering Reference Design December 2004, ver. 3.0 Application Note 245 Introduction The filtering reference designs provided in the DSP Development Kit, Stratix Edition, and in the DSP Development

More information

Hillar Põldmaa 20. september 2010

Hillar Põldmaa 20. september 2010 SF programm Infoühiskonna teadlikkuse tõstmine Hillar Põldmaa 20. september 2010 Koolitused ja infopäevad toimuvad Euroopa Liidu struktuurifondide programmi Infoühiskonna teadlikkuse tõstmine raames, mida

More information

Generation of Gaussian Pulses using FPGA for Simulating Nuclear Counting System

Generation of Gaussian Pulses using FPGA for Simulating Nuclear Counting System Generation of Gaussian Pulses using FPGA for Simulating Nuclear Counting System Mohaimina Begum Md. Abdullah Al Mamun Md. Atiar Rahman Sabiha Sattar Abstract- Nuclear radiation counting system is used

More information

The Application of System Generator in Digital Quadrature Direct Up-Conversion

The Application of System Generator in Digital Quadrature Direct Up-Conversion Communications in Information Science and Management Engineering Apr. 2013, Vol. 3 Iss. 4, PP. 192-19 The Application of System Generator in Digital Quadrature Direct Up-Conversion Zhi Chai 1, Jun Shen

More information

EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2. ELEC 3004/7312: Signals Systems & Controls EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2

EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2. ELEC 3004/7312: Signals Systems & Controls EXPERIMENT 1: INTRODUCTION TO THE NEXYS 2 ELEC 3004/7312: Signals Systems & Controls Aims In this laboratory session you will: 1. Gain familiarity with the workings of the Digilent Nexys 2 for DSP applications; 2. Have a first look at the Xilinx

More information

Lab 1.1 PWM Hardware Design

Lab 1.1 PWM Hardware Design Lab 1.1 PWM Hardware Design Lab 1.0 PWM Control Software (recap) In lab 1.0, you learnt the core concepts needed to understand and interact with simple systems. The key takeaways were the following: Hardware

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Midterm Exam ECE 448 Spring 2013 Thursday Section (15 points)

Midterm Exam ECE 448 Spring 2013 Thursday Section (15 points) ECE 8 Midterm Midterm Exam ECE 8 Spring 2 Thursday Section (5 points) Instructions: Zip all your deliverables into an archive .zip and submit it through Blackboard no later than Thursday, March

More information

Chapter 9. sequential logic technologies

Chapter 9. sequential logic technologies Chapter 9. sequential logic technologies In chapter 4, we looked at diverse implementation technologies for combinational logic circuits: random logic, regular logic, programmable logic. The similar variants

More information

Chapter 9. sequential logic technologies

Chapter 9. sequential logic technologies Chapter 9. sequential logic technologies In chapter 4, we looked at diverse implementation technologies for combinational logic circuits: random logic, regular logic, programmable logic. Similarly, variations

More information

Exercise 3: Serial Interface (RS232)

Exercise 3: Serial Interface (RS232) Exercise 3: Serial Interface (RS232) G. Kemnitz, TU Clausthal, Institute of Computer Science May 23, 2012 Abstract A working circuit design for the receiver of a serial interface is given. It has to be

More information

SIMULATION AND IMPLEMENTATION OF LOW POWER QPSK ON FPGA Tushar V. Kafare*1 *1( E&TC department, GHRCEM Pune, India.)

SIMULATION AND IMPLEMENTATION OF LOW POWER QPSK ON FPGA Tushar V. Kafare*1 *1( E&TC department, GHRCEM Pune, India.) www.ardigitech.inissn 2320-883X, VOLUME 1 ISSUE 4, 01/10/2013 SIMULATION AND IMPLEMENTATION OF LOW POWER QPSK ON FPGA Tushar V. Kafare*1 *1( E&TC department, GHRCEM Pune, India.) tusharkafare31@gmail.com*1

More information

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 5

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 5 IGITAL LOGIC WITH VHL (Fall 2013) Unit 5 SEUENTIAL CIRCUITS Asynchronous sequential circuits: Latches Synchronous circuits: flip flops, counters, registers. COMBINATORIAL CIRCUITS In combinatorial circuits,

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN ISSN 0976 6464(Print)

More information

Clocking Schedule and Writing VHDL Programs for Synthesis

Clocking Schedule and Writing VHDL Programs for Synthesis Session 1532 Clocking Schedule and Writing VHDL Programs for Synthesis Chia-Jeng Tseng Departmentf of Electrical Engineering Bucknell University Lewisburg, Pennsylvania 17837 Abstract Most of the commercial

More information

DESIGN AND FPGA IMPLEMENTATION OF NON- LINEARITY COMPENSATION OF CAPACITIVE PICK-OFF MEMS ACCELEROMETER FOR SATELLITE LAUNCH VEHICLES

DESIGN AND FPGA IMPLEMENTATION OF NON- LINEARITY COMPENSATION OF CAPACITIVE PICK-OFF MEMS ACCELEROMETER FOR SATELLITE LAUNCH VEHICLES INTERNATIONAL JOURNAL ON SMART SENSING AND INTELLIGENT SYSTEMS, VOL. 2, NO. 2, JUNE 2009 DESIGN AND FPGA IMPLEMENTATION OF NON- LINEARITY COMPENSATION OF CAPACITIVE PICK-OFF MEMS ACCELEROMETER FOR SATELLITE

More information

FPGA Implementation of VHDL Based Traffic Light Controller System

FPGA Implementation of VHDL Based Traffic Light Controller System FPGA Implementation of VHDL Based Traffic Light Controller System Sahil Gupta 1, Surbhi Sharma 2 1, 2 Department of Electronics & Communication Engineering, MIET, Jammu, J&K, India Email address: 1 sahilgupta3@yahoo.in,

More information

EECS150 Spring 2007 Lab Lecture #5. Shah Bawany. 2/16/2007 EECS150 Lab Lecture #5 1

EECS150 Spring 2007 Lab Lecture #5. Shah Bawany. 2/16/2007 EECS150 Lab Lecture #5 1 Logic Analyzers EECS150 Spring 2007 Lab Lecture #5 Shah Bawany 2/16/2007 EECS150 Lab Lecture #5 1 Today Lab #3 Solution Synplify Warnings Debugging Hardware Administrative Info Logic Analyzer ChipScope

More information

Cyclone II Filtering Lab

Cyclone II Filtering Lab May 2005, ver. 1.0 Application Note 376 Introduction The Cyclone II filtering lab design provided in the DSP Development Kit, Cyclone II Edition, shows you how to use the Altera DSP Builder for system

More information

Stratix II Filtering Lab

Stratix II Filtering Lab October 2004, ver. 1.0 Application Note 362 Introduction The filtering reference design provided in the DSP Development Kit, Stratix II Edition, shows you how to use the Altera DSP Builder for system design,

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

ECE260B CSE241A Winter Design Styles Multi-Vdd/ Vth Designs. Website: / vlsicad.ucsd.edu/ courses/ ece260bw05

ECE260B CSE241A Winter Design Styles Multi-Vdd/ Vth Designs. Website:   / vlsicad.ucsd.edu/ courses/ ece260bw05 ECE260B CSE241A Winter 2005 Design Styles Multi-Vdd/ Vth Designs Website: / courses/ ece260bw05 ECE 260B CSE 241A Design Styles 1 The Design Problem Source: sematech97 A growing gap between design complexity

More information

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 98 CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 5.1 INTRODUCTION This chapter deals with the design and development of FPGA based PWM generation with the focus on to improve the

More information

Implementing Multipliers with Actel FPGAs

Implementing Multipliers with Actel FPGAs Implementing Multipliers with Actel FPGAs Application Note AC108 Introduction Hardware multiplication is a function often required for system applications such as graphics, DSP, and process control. The

More information

ASIC Computer-Aided Design Flow ELEC 5250/6250

ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Computer-Aided Design Flow ELEC 5250/6250 ASIC Design Flow ASIC Design Flow DFT/BIST & ATPG Synthesis Behavioral Model VHDL/Verilog Gate-Level Netlist Verify Function Verify Function Front-End Design

More information

ISSN Vol.05, Issue.07, July-2017, Pages:

ISSN Vol.05, Issue.07, July-2017, Pages: ISSN 2322-0929 Vol.05, Issue.07, July-2017, Pages:0657-0661 www.ijvdcs.org An Advanced Traffic Light Controller using Verilog HDL T. BALA OBULA REDDY 1, V. SOWMYA 2 1 PG Scholar, Dept of ECE(VLSI), SRIT,

More information

RED. Course on Electronic Design Automation. (Rechnergestützter Entwurf Digital) lektronik abor

RED. Course on Electronic Design Automation. (Rechnergestützter Entwurf Digital) lektronik abor lektronik abor Course on Electronic Design Automation RED (Rechnergestützter Entwurf Digital) Prof. Dr. Martin J. W. Schubert Electronics Laboratory Regensburg University of Applied Sciences, Regensburg

More information

Daisy II. By: Steve Rothen EEL5666 Spring 2002

Daisy II. By: Steve Rothen EEL5666 Spring 2002 Daisy II By: Steve Rothen EEL5666 Spring 2002 Table of Contents Abstract. 3 Executive Summary. 4 Introduction.. 4 Integrated System 5 Mobile Platform... 8 Actuation....9 Sensors.. 10 Behaviors.. 13 Experimental

More information

Architecture and Synthesis for Multi-Cycle On-Chip Communication

Architecture and Synthesis for Multi-Cycle On-Chip Communication Architecture and Synthesis for MultiCycle OnChip Communication Jason Cong VLSI CAD Lab Computer Science Department University of California, Los Angeles cong@cs cs.ucla.edu http://cadlab cadlab.cs.ucla.edu

More information

Implementation of Huffman Decoder on Fpga

Implementation of Huffman Decoder on Fpga RESEARCH ARTICLE OPEN ACCESS Implementation of Huffman Decoder on Fpga Safia Amir Dahri 1, Dr Abdul Fattah Chandio 2, Nawaz Ali Zardari 3 Department of Telecommunication Engineering, QUEST NawabShah, Pakistan

More information

Efficient Parallel Real-Time Upsampling with Xilinx FPGAs

Efficient Parallel Real-Time Upsampling with Xilinx FPGAs Efficient Parallel eal-time Upsampling with Xilinx FPGAs by William D. ichard Associate Professor Washington University, St. Louis wdr@wustl.edu 38 Xcell Journal Fourth Quarter 2014 Here s a way to upsample

More information

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL 1 PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL Pradeep Patel Instrumentation and Control Department Prof. Deepali Shah Instrumentation and Control Department L. D. College

More information

Evaluation of Power Costs in Applying TMR to FPGA Designs

Evaluation of Power Costs in Applying TMR to FPGA Designs Brigham Young University BYU ScholarsArchive All Faculty Publications 2004-09-01 Evaluation of Power Costs in Applying TMR to FPGA Designs Nathaniel Rollins Michael J. Wirthlin wirthlin@ee.byu.edu See

More information

Design and Implementation of 4-QAM Architecture for OFDM Communication System in VHDL using Xilinx

Design and Implementation of 4-QAM Architecture for OFDM Communication System in VHDL using Xilinx Design and Implementation of 4-QAM Architecture for OFDM Communication System in VHDL using Xilinx 1 Mr.Gaurang Rajan, 2 Prof. Kiran Trivedi 3 Prof.R.M.Soni 1 PG student (EC), S.S.E.C., Bhavnagar-Gujarat

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

Arria V Timing Optimization Guidelines

Arria V Timing Optimization Guidelines Arria V Timing Optimization Guidelines AN-652-1. Application Note This document presents timing optimization guidelines for a set of identified critical timing path scenarios in Arria V FPGA designs. Timing

More information

CS/EE Homework 9 Solutions

CS/EE Homework 9 Solutions S/EE 260 - Homework 9 Solutions ue 4/6/2000 1. onsider the synchronous ripple carry counter on page 5-8 of the notes. Assume that the flip flops have a setup time requirement of 2 ns and that the gates

More information

Embedded Systems 10 BF - ES - 1 -

Embedded Systems 10 BF - ES - 1 - Embedded Systems 10-1 - REVIEW: VHDL HDL = hardware description language VHDL = VHSIC hardware description language VHSIC = very high speed integrated circuit Initiated by US Department of Defense 1987

More information

IJITKMI Volume 6 Number 2 July-December 2013 pp FPGA-based implementation of UART

IJITKMI Volume 6 Number 2 July-December 2013 pp FPGA-based implementation of UART FPGA-based implementation of UART Kamal Kumar Sharma 1 Parul Sharma 2 1 Professor; 2 Assistant Professor Dept. of Electronics and Comm Engineering, E-max School of Engineering and Applied Research, Ambala

More information

Design and FPGA Implementation of a High Speed UART. Sonali Dhage, Manali Patil,Navnath Temgire,Pushkar Vaity, Sangeeta Parshionikar

Design and FPGA Implementation of a High Speed UART. Sonali Dhage, Manali Patil,Navnath Temgire,Pushkar Vaity, Sangeeta Parshionikar 106 Design and FPGA Implementation of a High Speed UART Sonali Dhage, Manali Patil,Navnath Temgire,Pushkar Vaity, Sangeeta Parshionikar Abstract- The Universal Asynchronous Receiver Transmitter (UART)

More information

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 1

Published by: PIONEER RESEARCH & DEVELOPMENT GROUP (www.prdg.org) 1 Field Programmable Gate Array Implementation of Digital of Highest-Possible Order and its Testing using Advanced Microcontroller Dr. Pawan K. Gaikwad Head and Assistant Professor in Electronics Willingdon

More information

Linear Integrated Circuits

Linear Integrated Circuits Linear Integrated Circuits Single Slope ADC Comparator checks input voltage with integrated reference voltage, V REF At the same time the number of clock cycles is being counted. When the integrator output

More information

Automated FSM Error Correction for Single Event Upsets

Automated FSM Error Correction for Single Event Upsets Automated FSM Error Correction for Single Event Upsets Nand Kumar and Darren Zacher Mentor Graphics Corporation nand_kumar{darren_zacher}@mentor.com Abstract This paper presents a technique for automatic

More information

Three-Stage Coil Gun

Three-Stage Coil Gun Three-Stage Coil Gun Final Project Report December 8, 2006 E155 Dan Pivonka and Michael Pugh Abstract: A coil gun is an electronic gun that fires a projectile by means of the magnetic field generated when

More information

REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO

REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO REALISATION OF AWGN CHANNEL EMULATION MODULES UNDER SISO AND SIMO ENVIRONMENTS FOR 4G LTE SYSTEMS Dr. R. Shantha Selva Kumari 1 and M. Aarti Meena 2 1 Department of Electronics and Communication Engineering,

More information

Controller Implementation--Part I. Cascading Edge-triggered Flip-Flops

Controller Implementation--Part I. Cascading Edge-triggered Flip-Flops Controller Implementation--Part I Alternative controller FSM implementation approaches based on: Classical Moore and Mealy machines Time state: Divide and Counter Jump counters Microprogramming (ROM) based

More information

FPGA Implementation of a PID Controller with DC Motor Application

FPGA Implementation of a PID Controller with DC Motor Application FPGA Implementation of a PID Controller with DC Motor Application Members Paul Leisher Christopher Meyers Advisors Dr. Stewart Dr. Dempsey This project aims to implement a digital PID controller by means

More information

D16550 IP Core. Configurable UART with FIFO v. 2.25

D16550 IP Core. Configurable UART with FIFO v. 2.25 2017 D16550 IP Core Configurable UART with FIFO v. 2.25 C O M P A N Y O V E R V I E W Digital Core Design is a leading IP Core provider and a SystemonChip design house. The company was founded in 1999

More information

ATS-I USER MANUAL. Tecnoelettra srl Dal Via Vioni Dimo, S.Rocco di Guastalla (RE)

ATS-I USER MANUAL. Tecnoelettra srl Dal Via Vioni Dimo, S.Rocco di Guastalla (RE) USER MANUAL ATS-I Tel.+39.0522.832004 P1/17 ATS-I User manual Fax.+39.0522.832012 Tecnoelettra_EN.doc P.1/17 Index General description...3 Electrical specifics...3 Device operative logic...4 Manual mode...4

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

Design and Simulation of Universal Asynchronous Receiver Transmitter on Field Programmable Gate Array Using VHDL

Design and Simulation of Universal Asynchronous Receiver Transmitter on Field Programmable Gate Array Using VHDL International Journal Of Scientific Research And Education Volume 2 Issue 7 Pages 1091-1097 July-2014 ISSN (e): 2321-7545 Website:: http://ijsae.in Design and Simulation of Universal Asynchronous Receiver

More information

Giovanni Squillero

Giovanni Squillero Giovanni Squillero giovanni.squillero@polito.it Copyright is held by the author/owner(s). GECCO 08, July 12 16, 2008, Atlanta, Georgia, USA. ACM 978-1-60558-131-6/08/07. Giovanni Squillero giovanni.squillero@polito.it

More information

MICROPROCESSORS AND MICROCONTROLLER 1

MICROPROCESSORS AND MICROCONTROLLER 1 MICROPROCESSORS AND MICROCONTROLLER 1 Microprocessor Applications Data Acquisition System Data acquisition is the process of sampling signals that measure real world physical conditions ( such as temperature,

More information

(VE2: Verilog HDL) Software Development & Education Center

(VE2: Verilog HDL) Software Development & Education Center Software Development & Education Center (VE2: Verilog HDL) VLSI Designing & Integration Introduction VLSI: With the hardware market booming with the rise demand in chip driven products in consumer electronics,

More information

DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS. In this Chapter the SPWM and SVPWM controllers are designed and

DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS. In this Chapter the SPWM and SVPWM controllers are designed and 77 Chapter 5 DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS In this Chapter the SPWM and SVPWM controllers are designed and implemented in Dynamic Partial Reconfigurable

More information

MODULE-4 Memory and programmable logic

MODULE-4 Memory and programmable logic MODULE-4 Memory and programmable logic READ-ONLY MEMORY (ROM) A read-only memory (ROM) is a device that includes both the decoder and the OR gates within a single IC package. The connections between the

More information

CHAPTER FIVE - Flip-Flops and Related Devices

CHAPTER FIVE - Flip-Flops and Related Devices CHAPTER FIVE - Flip-Flops and Related Devices 5.1 5.2 Same Q output as 5.1. 5.3 5.4 57 5.5 One possibility: 5.6 The response shown would occur If the NAND latch is not working as a Flip-Flop. A permanent

More information

IMPROVEMENT OF THE ORTHOGONAL CODE CONVOLUTION CAPABILITIES USING FPGA IMPLEMENTATION

IMPROVEMENT OF THE ORTHOGONAL CODE CONVOLUTION CAPABILITIES USING FPGA IMPLEMENTATION IMPROVEMENT OF THE ORTHOGONAL CODE CONVOLUTION CAPABILITIES USING FPGA IMPLEMENTATION 1 ANITA YADAV, 2 SHEETAL GANGWAR 1, 2 Masters of Technology Scholar, Department of Electronics & Communication Engineering,

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

D16950 IP Core. Configurable UART with FIFO v. 1.03

D16950 IP Core. Configurable UART with FIFO v. 1.03 2017 D16950 IP Core Configurable UART with FIFO v. 1.03 C O M P A N Y O V E R V I E W Digital Core Design is a leading IP Core provider and a SystemonChip design house. The company was founded in 1999

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Clock Networks and Phase Lock Loops on Altera Cyclone V Devices Dr. D. J. Jackson Lecture 9-1 Global Clock Network & Phase-Locked Loops Clock management is important within digital

More information

GPS MOODULI REALISATSIOON JA ANALÜÜS SIRFSTAR IV KIIBI BAASIL Bakalaureuse lõputöö

GPS MOODULI REALISATSIOON JA ANALÜÜS SIRFSTAR IV KIIBI BAASIL Bakalaureuse lõputöö TALLINNA TEHNIKAÜLIKOOL Infotehnoloogia teaduskond Thomas Johann Seebecki elektroonikainstituut Siduselektroonika teaduslaboratoorium Kristjan Lužkov GPS MOODULI REALISATSIOON JA ANALÜÜS SIRFSTAR IV KIIBI

More information

AN AT89C52 MICROCONTROLLER BASED HIGH RESOLUTION PWM CONTROLLER FOR 3-PHASE VOLTAGE SOURCE INVERTERS

AN AT89C52 MICROCONTROLLER BASED HIGH RESOLUTION PWM CONTROLLER FOR 3-PHASE VOLTAGE SOURCE INVERTERS IIUM Engineering Journal, Vol. 6, No., 5 AN AT89C5 MICROCONTROLLER BASED HIGH RESOLUTION PWM CONTROLLER FOR 3-PHASE VOLTAGE SOURCE INVERTERS K. M. RAHMAN AND S. J. M. IDRUS Department of Mechatronics Engineering

More information

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM 3 Chapter 3 IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA 3.1. Introduction This Chapter presents an implementation of area efficient SPWM control through single FPGA using Q-Format. The SPWM

More information

SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY (AUTONOMOUS)

SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY (AUTONOMOUS) SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY (AUTONOMOUS) Recognized by AICTE, NBA, NAAC and Govt. of A.P. Affiliated by J.N.T.U.A., ANANTAPUR R.V.S. Nagar, Tirupati Road, CHITTOOR- 517127 DEPARTMENT

More information

Development of Timer Core Based on 82C54 Using VHDL

Development of Timer Core Based on 82C54 Using VHDL Development of Timer Core Based on 82C54 Using VHDL S.Bhargavi M.Tech Scholar, Department of ECE, Madanapalle Institute of Technology and Sciences, Madanapalle, India. Abstract: This paper proposes a new

More information

Hardware Flags. and the RTI system. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff

Hardware Flags. and the RTI system. Microcomputer Architecture and Interfacing Colorado School of Mines Professor William Hoff Hardware Flags and the RTI system 1 Need for hardware flag Often a microcontroller needs to test whether some event has occurred, and then take an action For example A sensor outputs a pulse when a model

More information

Design and Simulation of PID Controller using FPGA

Design and Simulation of PID Controller using FPGA IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X Design and Simulation of PID Controller using FPGA Ankur Dave PG Student Department

More information

Stage report Spark Generator Board & Path Finder Robot

Stage report Spark Generator Board & Path Finder Robot Institut Universitaire Technologique Calais-Boulogne Département Génie Electrique et Informatique Industrielle Stage report Spark Generator Board & Path Finder Robot Stage from 14 April to 19 June 1998

More information

Precision Robotics Platform

Precision Robotics Platform Precision Robotics Platform Randall M. Satterthwaite Rob. Shockency. Project Advisors Dr. B. Huggins Mr. C. Mattus May 1, 2002 Contents Contents... 1 I. Abstract... 2 II. Introduction... 3 III. Functional

More information