RED. Course on Electronic Design Automation. (Rechnergestützter Entwurf Digital) lektronik abor

Size: px
Start display at page:

Download "RED. Course on Electronic Design Automation. (Rechnergestützter Entwurf Digital) lektronik abor"

Transcription

1 lektronik abor Course on Electronic Design Automation RED (Rechnergestützter Entwurf Digital) Prof. Dr. Martin J. W. Schubert Electronics Laboratory Regensburg University of Applied Sciences, Regensburg

2 Abstract. Course RED: Rechnergestützter Entwurf Digital (Electronic Design Automation) teaches the competences of using software tools applied to an example including project management, system, subsystem module and implementation levels. Knowledge of course System Concepts is no precondition but helpful to understand mathematical. 1 Introduction and Overview 1.1 Introduction and Structure of this Course Course RED: Rechnergestützter Entwurf Digital (Electronic Design Automation) is typically presented in German language with English documentation, but can be offered in English language if necessary. RED course teaches competences to use software tools from project management to hardware implementation. Course SK: Systemkonzepte (System Concepts) is helpful to understand mathematical backgrounds but not necessary. Formulae derived in SK will will be presented as given recipes here in RED. The organization of this course is as follows: 50% of the time are spend in a PC pool and the other 50% are practical training Chapter 1: Introduction explaining the structure of this course Chapter 2: Process models V-Model [1] and Agile [2], Scrum [3] and Kanban Tables[4]. Chapter 3: Specification level: Requirements Engineering Chapter 4: System level: modeling & simulation using Spice [5], [6] and Matlab/Simulink [7] Chapter 5: Subsystem level: cycle-based simulation using Matlab [8] ASCII code Chapter 6 : Module level: event-driven VHDL models simulated with ModelSim [9]. Chapter 7: Implementation Level: VHDL model synthesis by Quartus II [10], download into an FPGA [11], hardware tests. Alternatively using micro controller instead of FPGA. Chapter 8: Summary and Outlook Chapter 9 References - 2 -

3 1.2 Process models V-Model and Agile/Scrum How do we structure the process of a project workflow? The most important process models today are V-Model and Agile / Scrum V-Model Fig.1.2.1: Simplified V-Model [1]. Table 2 illustrates the system interpretation of this project. We shall do subsystem design with Spcie and Matlab, in a first step using behavioral models, then the design is broken down to finite state machines (FSMs). Module design translates Matlab FSMs to VHDL modules. Table 1.2.1: Possible implementation of V-Model structure - 3 -

4 1.2.2 Agile [2] / Scrum [3] / Kanban Tables [4] <Bild aus Copyright-Gründen gelöscht> <Figure deleted due to copyright reasons> Classical process models: Milestones must be reached. (A car must be ready when sold) Agile/Scrum: Deadlines must be reached. (E.g. a practical training will end on 3. July.) <Figure deleted due to copyright reasons> <Bild aus Copyright-Gründen gelöscht> - 4 -

5 1.3 Specification Level: Requirements Engineering (a) Screenshot taken from [11]: LDOs AME1117 [12] translate voltage differences to heat (b) VCC12, VCC18 in (a) generated with LDOs, (c) goal: use configurable DC/DC converters Fig : Generation of core voltages for an FPGA As example we use the FPGA core voltage generation of VCC12 = 1.2V and VCC18 = 1.8V from VCC33 = 3.3V. On the FPGA board of an international vendor 3.3V are generated efficiently from a 220V power supply. Using an LDO to convert 3.3V to 1.8V and 1.2V translates more than 45% and 63% of the supply power into heat, respectively. This is unsupportable for portable devices. Therefore, we want to replace the LDOs by energy-efficient, digitally controlled DC/DC buck conveters. Requirement: 8 -bit control signal w = sets set-point for Uout to V in steps of 1mV for the DC/DC buck converter System modeling language SysML [13] may be used to support requirement engineering and specification

6 1.4 System Level Behavioral Modeling Setting up the System (d) Control loop stabillizing lowpass / oscillator Fig : Generation of core voltages for an FPGA: (a) PWM filtered by (b) RLC lowpass. A DC/DC buck converter consist basically of a RLC lowpass smoothing a PWM signal. High efficiency requires to minimize resistive losses of the RLC lowpass. This makes it an oscillator. A regulator (controller) is required to stabilize output voltage. Goal: Build a digital regulator using the numerical power of the FPGA

7 1.4.2 Spice Behavioral System Model (a) LTspice behovioral simulation setup (b) 17 DAC-Levels, A/D/A conversion delay = 3 µs (c) 2 DAC-Levels, A/D/A conversion delay = 3 µs (d) 17 DAC-Levels, A/D/A conversion delay = 30 µs Fig : LTspice [5] simulation compares impact of A/D/A delay versus DAC accuracy. Green: voltage set point, red: output voltage, pink: load current, cyan: DAC output. An all-analog loop model is set up and simulated behaviorally with LTspice. Green ist the voltage set point, red the output voltage, pink the load current jumping at 1ms to 1A and at 2ms back to 0. Cyan is the DAC output = lowpass/oscillator input. Reducing DAC output resolution from 17 to 2 levels has no effect on quality, but increasing A/D/A conversion delay removes stability. A noise voltage added from 3ms to the lowpass input has few impact

8 LTspice [5] is available for free by Linear Technology, which is now a part of Analog Devices. Recently, Texas Instruments offers a free analog network analyzer named TINA [6]. Phase margin loss due to delay: Δϕ M = -ω T T del Matlab/Simulink Behavioral System Model (a) Simulink model of the control loop (a) Matlab/Simulink Scope output of (a); green: voltage set point, red: output voltage Fig : Simulink system simulation observed in the Simulink-Scope. Matlab/Simulink can combine time-continuous and time-discrete models. However, the tool is not available for free

9 1.5 Subsystem Level: Cycle-based Modeling Using Matlab Cylcle-Based FSM Modeling and Simulation Fig : Finite State Machine (FSM) model. Clycle-based modeling considers the state memory as vector and the next-state logic as function. An Important point is that we get the transition from time-continuous modeling to a time-discrete FSM model Cylcle-Based Modeling and Simulation of DC/DC Buck Converter Fig : Time-discrete DC/DC buck converter model. Subsystem level, cycle-based model to be described with Matlab ASCII code using the bilinear transformation s = (1-z -1 )/(1+z -1 )2/T - 9 -

10 1.6 Module-Level: Event-Driven Modeling Using VHDL Module level, event-driven VHDL models simulated with ModelSim. Fig. 1.6: Simulation of a VHDL model using ModelSim [9]. Top down signal description: Cyan: set voltage, green: load current, red + brown: output voltages obtained with regulator working with real and integer numbers, respectively, black: controller output. The figure above shows a simulation obtained with ModelSim simulator. In a first step the cycle-based Matlab FSM model is translated to a VDHL event-driven FSM model using real numbes. As real numbers cannot be synthesized (with this tools) the digital regulator (controller) has to be realized with integral numbers as I/O signals. The similarity of the red and brown curves in the figure above illustrate the success. Event-driven designs are known to simulate some times slower than cycle-based models, but the allow to observe gate-delays and later synthesis. Building such an event-driven simulation model with VHDL is a considerably larger effort than building a cycle-based model with Matlab

11 1.7 Hardware Implementation (a) Schematics of digital 2 nd order IIR filter in 1 st canonical direct structure before translation to VHDL code (b) RTL View after syntesis of VHDL code by Quartus II 8.1 (c) Timing information of the synthesizer delivers timing information such as t su, t co, t pd : Clock "clock" has Internal fmax of MHz (period= ns) tsu for register... ("x[18]", "clock") is ns tco from "clock" to destination pin "y[29]" is ns Longest tpd from pin "x[18]" to pin "y[29]" is ns Fig. 1.7: Synthesis of the regulator model realized as 2 nd order IIR filter with Quartus II [10]. Legend: tpd: propagation delay: from change in stimulus vector x to Mealy outputs of y being stable. tsu: setup time from change in vectors x, y to nextstate vector stable for active clock edge. tco: clock-to-output: time from active clock edge to output vector y being stable

12 Synthesizer Quartus II compiles VHDL code for download into our Terasic DE2 board with a Cyclone type Altera FPGA. Quartus II can synthesize mathematical operations +, -, *, /, but requires integer or bitvector data-type signals. After compilation of our VHDL code we can compare our original controller schematics with Quartus II s RTL-View as shown in Fig The synthesizer delivers valuable timing information such as setup time t su, clock-to-output t co or propagation delay t pd. Significan optimzizations are possible, e.g. by dividing through c0 = 2 N with N being an integral number the division can be realized as bit-shift operation. An oscillator circuit driven by an DAC and its output voltage measured by an ADC is under construction and hopefully ready to use in October Conclusions and Outlook Applying manament process models such as V-Model or Agile/Scrum to a DC/DC buck converter example takes the modeling layers system, subsystem, module, hardware implementation. Realization of a hardware oscillator daughter board with DAC and ADC is on the way. 1.9 References [1] V-Model [1] release 2.1, published by Deutsche Bundeswehr on 6. June 2017, available: [2] Agile, available [3] Scrum, available [4] Kanban Table, available: [5] LTspice, available: [6] TINA, available: [7] Simulink, available: [8] Matlab, available: [9] ModelSim, available: [10] Quartus II, available: [11] DE2 Development and Education Board User Manual, Terasic Technologies, available: [12] AME1117, available: [13] SysML, available:

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Cyclone II Filtering Lab

Cyclone II Filtering Lab May 2005, ver. 1.0 Application Note 376 Introduction The Cyclone II filtering lab design provided in the DSP Development Kit, Cyclone II Edition, shows you how to use the Altera DSP Builder for system

More information

Stratix Filtering Reference Design

Stratix Filtering Reference Design Stratix Filtering Reference Design December 2004, ver. 3.0 Application Note 245 Introduction The filtering reference designs provided in the DSP Development Kit, Stratix Edition, and in the DSP Development

More information

CHAPTER 4 FUZZY BASED DYNAMIC PWM CONTROL

CHAPTER 4 FUZZY BASED DYNAMIC PWM CONTROL 47 CHAPTER 4 FUZZY BASED DYNAMIC PWM CONTROL 4.1 INTRODUCTION Passive filters are used to minimize the harmonic components present in the stator voltage and current of the BLDC motor. Based on the design,

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

The Application of System Generator in Digital Quadrature Direct Up-Conversion

The Application of System Generator in Digital Quadrature Direct Up-Conversion Communications in Information Science and Management Engineering Apr. 2013, Vol. 3 Iss. 4, PP. 192-19 The Application of System Generator in Digital Quadrature Direct Up-Conversion Zhi Chai 1, Jun Shen

More information

Iowa State University Electrical and Computer Engineering. E E 452. Electric Machines and Power Electronic Drives

Iowa State University Electrical and Computer Engineering. E E 452. Electric Machines and Power Electronic Drives Electrical and Computer Engineering E E 452. Electric Machines and Power Electronic Drives Laboratory #5 Buck Converter Embedded Code Generation Summary In this lab, you will design the control application

More information

Lab #10: Finite State Machine Design

Lab #10: Finite State Machine Design Lab #10: Finite State Machine Design Zack Mattis Lab: 3/2/17 Report: 3/14/17 Partner: Brendan Schuster Purpose In this lab, a finite state machine was designed and fully implemented onto a protoboard utilizing

More information

Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder

Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder Rapid FPGA Modem Design Techniques For SDRs Using Altera DSP Builder Steven W. Cox Joel A. Seely General Dynamics C4 Systems Altera Corporation 820 E. McDowell Road, MDR25 0 Innovation Dr Scottsdale, Arizona

More information

Stratix II Filtering Lab

Stratix II Filtering Lab October 2004, ver. 1.0 Application Note 362 Introduction The filtering reference design provided in the DSP Development Kit, Stratix II Edition, shows you how to use the Altera DSP Builder for system design,

More information

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters

BPSK_DEMOD. Binary-PSK Demodulator Rev Key Design Features. Block Diagram. Applications. General Description. Generic Parameters Key Design Features Block Diagram Synthesizable, technology independent VHDL IP Core reset 16-bit signed input data samples Automatic carrier acquisition with no complex setup required User specified design

More information

2014 Paper E2.1: Digital Electronics II

2014 Paper E2.1: Digital Electronics II 2014 Paper E2.1: Digital Electronics II Answer ALL questions. There are THREE questions on the paper. Question ONE counts for 40% of the marks, other questions 30% Time allowed: 2 hours (Not to be removed

More information

ADS9850 Signal Generator Module

ADS9850 Signal Generator Module 1. Introduction ADS9850 Signal Generator Module This module described here is based on ADS9850, a CMOS, 125MHz, and Complete DDS Synthesizer. The AD9850 is a highly integrated device that uses advanced

More information

Methodology for testing a regulator in a DC/DC Buck Converter using Bode 100 and SpCard

Methodology for testing a regulator in a DC/DC Buck Converter using Bode 100 and SpCard Methodology for testing a regulator in a DC/DC Buck Converter using Bode 100 and SpCard J. M. Molina. Abstract Power Electronic Engineers spend a lot of time designing their controls, nevertheless they

More information

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator

Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator www.semargroups.org, www.ijsetr.com ISSN 2319-8885 Vol.02,Issue.10, September-2013, Pages:984-988 Hardware/Software Co-Simulation of BPSK Modulator and Demodulator using Xilinx System Generator MISS ANGEL

More information

Crest Factor Reduction

Crest Factor Reduction June 2007, Version 1.0 Application Note 396 This application note describes crest factor reduction and an Altera crest factor reduction solution. Overview A high peak-to-mean power ratio causes the following

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information

Hardware/Software Co-Simulation of BPSK Modulator Using Xilinx System Generator

Hardware/Software Co-Simulation of BPSK Modulator Using Xilinx System Generator IOSR Journal of Engineering (IOSRJEN) e-issn: 2250-3021, p-issn: 2278-8719, Volume 2, Issue 10 (October 2012), PP 54-58 Hardware/Software Co-Simulation of BPSK Modulator Using Xilinx System Generator Thotamsetty

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

BPSK System on Spartan 3E FPGA

BPSK System on Spartan 3E FPGA INTERNATIONAL JOURNAL OF INNOVATIVE TECHNOLOGIES, VOL. 02, ISSUE 02, FEB 2014 ISSN 2321 8665 BPSK System on Spartan 3E FPGA MICHAL JON 1 M.S. California university, Email:santhoshini33@gmail.com. ABSTRACT-

More information

Mixed-Signal Simulation of Digitally Controlled Switching Converters

Mixed-Signal Simulation of Digitally Controlled Switching Converters Mixed-Signal Simulation of Digitally Controlled Switching Converters Aleksandar Prodić and Dragan Maksimović Colorado Power Electronics Center Department of Electrical and Computer Engineering University

More information

Simultaneous Co-Test of High Performance DAC-ADC Pairs May 13-28

Simultaneous Co-Test of High Performance DAC-ADC Pairs May 13-28 Simultaneous Co-Test of High Performance DAC-ADC Pairs Adviser & Client Members Luke Goetzke Ben Magstadt Tao Chen Aug, 2012 May, 2013 1 Agenda Project Description Project Design Test and Debug Results

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Clock Networks and Phase Lock Loops on Altera Cyclone V Devices Dr. D. J. Jackson Lecture 9-1 Global Clock Network & Phase-Locked Loops Clock management is important within digital

More information

Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter

Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter P. K. Gaikwad Department of Electronics Willingdon College, Sangli, India e-mail: pawangaikwad2003

More information

FlexDDS-NG DUAL. Dual-Channel 400 MHz Agile Waveform Generator

FlexDDS-NG DUAL. Dual-Channel 400 MHz Agile Waveform Generator FlexDDS-NG DUAL Dual-Channel 400 MHz Agile Waveform Generator Excellent signal quality Rapid parameter changes Phase-continuous sweeps High speed analog modulation Wieserlabs UG www.wieserlabs.com FlexDDS-NG

More information

FPGA Implementation of a PID Controller with DC Motor Application

FPGA Implementation of a PID Controller with DC Motor Application FPGA Implementation of a PID Controller with DC Motor Application Members Paul Leisher Christopher Meyers Advisors Dr. Stewart Dr. Dempsey This project aims to implement a digital PID controller by means

More information

PRESENTATION OF THE PROJECTX-FINAL LEVEL 1.

PRESENTATION OF THE PROJECTX-FINAL LEVEL 1. Implementation of digital it frequency dividersid PRESENTATION OF THE PROJECTX-FINAL LEVEL 1. Why frequency divider? Motivation widely used in daily life Time counting (electronic clocks, traffic lights,

More information

Bidirectional Buck-Boost Controller for Electric Vehicle Using FPGA Board

Bidirectional Buck-Boost Controller for Electric Vehicle Using FPGA Board Research Article M. Rezal et al, Carib.j.SciTech, 2014,Vol.2, 314-321 Bidirectional Buck-Boost Controller for Electric Vehicle Using FPGA Board Authors & Affiliation: M. Rezal, A. Faiz University Kuala

More information

Department of Electrical Engineering

Department of Electrical Engineering Department of Electrical Engineering Master Thesis Modelling and design of digital DC-DC converters Master thesis performed in datorteknik by Hiwa Mobaraz LiTH-ISY-EX--16/4942--SE Linköping 2016 Department

More information

Lab 1.2 Joystick Interface

Lab 1.2 Joystick Interface Lab 1.2 Joystick Interface Lab 1.0 + 1.1 PWM Software/Hardware Design (recap) The previous labs in the 1.x series put you through the following progression: Lab 1.0 You learnt some theory behind how one

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

Abstract of PhD Thesis

Abstract of PhD Thesis FACULTY OF ELECTRONICS, TELECOMMUNICATION AND INFORMATION TECHNOLOGY Irina DORNEAN, Eng. Abstract of PhD Thesis Contribution to the Design and Implementation of Adaptive Algorithms Using Multirate Signal

More information

FPGA-BASED PULSED-RF PHASE AND AMPLITUDE DETECTOR AT SLRI

FPGA-BASED PULSED-RF PHASE AND AMPLITUDE DETECTOR AT SLRI doi:10.18429/jacow-icalepcs2017- FPGA-BASED PULSED-RF PHASE AND AMPLITUDE DETECTOR AT SLRI R. Rujanakraikarn, Synchrotron Light Research Institute, Nakhon Ratchasima, Thailand Abstract In this paper, the

More information

Low-Cost and Portable Interactive Sinusoidal Digital Signal Generator by Using FPGA

Low-Cost and Portable Interactive Sinusoidal Digital Signal Generator by Using FPGA Low-Cost and Portable Interactive Sinusoidal Digital Signal Generator by Using FPGA Aiman Zakwan Jidin 1,2, Irna Nadira Mahzan 1, Nurulhalim Hassim 1, Ahmad Fauzan Kadmin 1 1 Faculty of Engineering Technology,

More information

Implementing Audio Digital Feedback Loop Using the National Instruments RIO System

Implementing Audio Digital Feedback Loop Using the National Instruments RIO System Implementing Audio Digital Feedback Loop Using the National Instruments RIO System G. Huang, J. M. Byrd LBNL. One cyclotron Rd. Berkeley,CA,94720 Abstract. Development of system for high precision RF distribution

More information

Closed Loop Magnetic Levitation Control of a Rotary Inductrack System. Senior Project Proposal. Students: Austin Collins Corey West

Closed Loop Magnetic Levitation Control of a Rotary Inductrack System. Senior Project Proposal. Students: Austin Collins Corey West Closed Loop Magnetic Levitation Control of a Rotary Inductrack System Senior Project Proposal Students: Austin Collins Corey West Advisors: Dr. Winfred Anakwa Mr. Steven Gutschlag Date: December 18, 2013

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

Introduction to Simulation of Verilog Designs. 1 Introduction

Introduction to Simulation of Verilog Designs. 1 Introduction Introduction to Simulation of Verilog Designs 1 Introduction An effective way of determining the correctness of a logic circuit is to simulate its behavior. This tutorial provides an introduction to such

More information

CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER

CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER 59 CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER 4.1 Conventional Method A buck-boost converter circuit is a combination of the buck converter topology and a boost converter

More information

Behavioral Simulator of Analog-to-Digital Converters

Behavioral Simulator of Analog-to-Digital Converters Behavioral Simulator of Analog-to-Digital Converters Grzegorz Zareba Olgierd. A. Palusinski University of Arizona Outline Introduction and Motivation Behavioral Simulator of Analog-to-Digital Converters

More information

Using Fusion for Closed-Loop Power Supply Margining

Using Fusion for Closed-Loop Power Supply Margining Using Fusion for Closed-Loop Power Supply Margining Application Note AC321 Overview A growing number of embedded systems designers want the ability to dynamically alter the precise value of a power supply's

More information

In this lecture, we will first examine practical digital signals. Then we will discuss the timing constraints in digital systems.

In this lecture, we will first examine practical digital signals. Then we will discuss the timing constraints in digital systems. 1 In this lecture, we will first examine practical digital signals. Then we will discuss the timing constraints in digital systems. The important concepts are related to setup and hold times of registers

More information

Minimal UART core. All the project files were published on the LGPL terms, you must read the GNU Lesser General Public License for more details.

Minimal UART core. All the project files were published on the LGPL terms, you must read the GNU Lesser General Public License for more details. Minimal UART core Author: Arao Hayashida Filho Published on opencores.org 1- Introduction The fundamental idea of this core is implement a very simple UART in VHDL, using less quantity of logic resources,

More information

SpectraTronix C700. Modular Test & Development Platform. Ideal Solution for Cognitive Radio, DSP, Wireless Communications & Massive MIMO Applications

SpectraTronix C700. Modular Test & Development Platform. Ideal Solution for Cognitive Radio, DSP, Wireless Communications & Massive MIMO Applications SpectraTronix C700 Modular Test & Development Platform Ideal Solution for Cognitive Radio, DSP, Wireless Communications & Massive MIMO Applications Design, Test, Verify & Prototype All with the same tool

More information

Functional analysis of DSP blocks in FPGA chips for application in TESLA LLRF system

Functional analysis of DSP blocks in FPGA chips for application in TESLA LLRF system TESLA Report 23-29 Functional analysis of DSP blocks in FPGA chips for application in TESLA LLRF system Krzysztof T. Pozniak, Tomasz Czarski, Ryszard S. Romaniuk Institute of Electronic Systems, WUT, Nowowiejska

More information

Implementing Multipliers with Actel FPGAs

Implementing Multipliers with Actel FPGAs Implementing Multipliers with Actel FPGAs Application Note AC108 Introduction Hardware multiplication is a function often required for system applications such as graphics, DSP, and process control. The

More information

Rapid Design of FIR Filters in the SDR- 500 Software Defined Radio Evaluation System using the ASN Filter Designer

Rapid Design of FIR Filters in the SDR- 500 Software Defined Radio Evaluation System using the ASN Filter Designer Rapid Design of FIR Filters in the SDR- 500 Software Defined Radio Evaluation System using the ASN Filter Designer Application note (ASN-AN026) October 2017 (Rev B) SYNOPSIS SDR (Software Defined Radio)

More information

1 Q' 3. You are given a sequential circuit that has the following circuit to compute the next state:

1 Q' 3. You are given a sequential circuit that has the following circuit to compute the next state: UNIVERSITY OF CALIFORNIA Department of Electrical Engineering and Computer Sciences C50 Fall 2001 Prof. Subramanian Homework #3 Due: Friday, September 28, 2001 1. Show how to implement a T flip-flop starting

More information

TTL LOGIC and RING OSCILLATOR TTL

TTL LOGIC and RING OSCILLATOR TTL ECE 2274 TTL LOGIC and RING OSCILLATOR TTL We will examine two digital logic inverters. The first will have a passive resistor pull-up output stage. The second will have an active transistor and current

More information

A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM

A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM Item Type text; Proceedings Authors Rosenthal, Glenn K. Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

Fully Integrated FPGA-based configurable Motor Control

Fully Integrated FPGA-based configurable Motor Control Fully Integrated FPGA-based configurable Motor Control Christian Grumbein, Endric Schubert Missing Link Electronics Stefano Zammattio Altera Europe Abstract Field programmable gate arrays (FPGA) provide

More information

Power Supply Control With FPGAs: Model-Based Design With Matlab, Simulink And DSP Builder

Power Supply Control With FPGAs: Model-Based Design With Matlab, Simulink And DSP Builder ISSUE: April 2014 Power Supply Control With FPGAs: Model-Based Design With Matlab, Simulink And DSP Builder by Peter Markowski, Envelope Power, Chebeague Island, Maine Digital control has taken the power

More information

Welcome to 6.111! Introductory Digital Systems Laboratory

Welcome to 6.111! Introductory Digital Systems Laboratory Welcome to 6.111! Introductory Digital Systems Laboratory Handouts: Info form (yellow) Course Calendar Safety Memo Kit Checkout Form Lecture slides Lectures: Chris Terman TAs: Karthik Balakrishnan HuangBin

More information

Quantization noise analysis of a closed-loop PWM controller that includes Σ-Δ modulation

Quantization noise analysis of a closed-loop PWM controller that includes Σ-Δ modulation Scholars' Mine Masters Theses Student Research & Creative Works Spring 2013 Quantization noise analysis of a closed-loop PWM controller that includes Σ-Δ modulation Anupama Balakrishnan Follow this and

More information

High Speed PWM Controller

High Speed PWM Controller High Speed PWM Controller FEATURES Compatible with Voltage or Current Mode Topologies Practical Operation Switching Frequencies to 1MHz 50ns Propagation Delay to Output High Current Dual Totem Pole Outputs

More information

Digital Payload Modeling for Space Applications

Digital Payload Modeling for Space Applications Digital Payload Modeling for Space Applications Bradford S. Watson Staff Engineer Advanced Algorithm Development Group Copyright 28. Lockheed Martin Corporation. All rights reserved..ppt 5/9/28 1 Overview

More information

Real-Time Testing Made Easy with Simulink Real-Time

Real-Time Testing Made Easy with Simulink Real-Time Real-Time Testing Made Easy with Simulink Real-Time Andreas Uschold Application Engineer MathWorks Martin Rosser Technical Sales Engineer Speedgoat 2015 The MathWorks, Inc. 1 Model-Based Design Continuous

More information

Using an FPGA based system for IEEE 1641 waveform generation

Using an FPGA based system for IEEE 1641 waveform generation Using an FPGA based system for IEEE 1641 waveform generation Colin Baker EADS Test & Services (UK) Ltd 23 25 Cobham Road Wimborne, Dorset, UK colin.baker@eads-ts.com Ashley Hulme EADS Test Engineering

More information

AC : ORTHOGONAL FREQUENCY DIVISION MULTIPLEX- ING (OFDM) DEVELOPMENT AND TEACHING PLATFORM

AC : ORTHOGONAL FREQUENCY DIVISION MULTIPLEX- ING (OFDM) DEVELOPMENT AND TEACHING PLATFORM AC 2011-2674: ORTHOGONAL FREQUENCY DIVISION MULTIPLEX- ING (OFDM) DEVELOPMENT AND TEACHING PLATFORM Antonio Francisco Mondragon-Torres, Rochester Institute of Technology Antonio F. Mondragon-Torres received

More information

Training Schedule. Robotic System Design using Arduino Platform

Training Schedule. Robotic System Design using Arduino Platform Training Schedule Robotic System Design using Arduino Platform Session - 1 Embedded System Design Basics : Scope : To introduce Embedded Systems hardware design fundamentals to students. Processor Selection

More information

Research Article. Amiya Karmakar Ȧ,#, Deepshikha Mullick Ḃ,#,* and Amitabha Sinha Ċ. Abstract

Research Article. Amiya Karmakar Ȧ,#, Deepshikha Mullick Ḃ,#,* and Amitabha Sinha Ċ. Abstract Research Article International Journal of Current Engineering and Technology E-ISSN 2277 4106, P-ISSN 2347-5161 2014 INPRESSCO, All Rights Reserved Available at http://inpressco.com/category/ijcet High

More information

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 98 CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 5.1 INTRODUCTION This chapter deals with the design and development of FPGA based PWM generation with the focus on to improve the

More information

UNIVERSITI MALAYSIA PERLIS

UNIVERSITI MALAYSIA PERLIS UNIVERSITI MALAYSIA PERLIS SCHOOL OF COMPUTER & COMMUNICATIONS ENGINEERING EKT303/4 PRINCIPLES OF COMPUTER ARCHITECTURE LAB 5 : STATE MACHINE DESIGNS IN VHDL LAB 5: Finite State Machine Design OUTCOME:

More information

Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter

Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter Design of FPGA- Based SPWM Single Phase Full-Bridge Inverter Afarulrazi Abu Bakar 1, *,Md Zarafi Ahmad 1 and Farrah Salwani Abdullah 1 1 Faculty of Electrical and Electronic Engineering, UTHM *Email:afarul@uthm.edu.my

More information

Digitally Controlled Envelope Tracking Power Supply for an RF Power Amplifier

Digitally Controlled Envelope Tracking Power Supply for an RF Power Amplifier Downloaded from orbit.dtu.dk on: Jul 24, 2018 Digitally Controlled Envelope Tracking Power Supply for an RF Power Amplifier Jakobsen, Lars Tønnes; Andersen, Michael A. E. Published in: International Telecommunications

More information

Welcome to 6.111! Introductory Digital Systems Laboratory

Welcome to 6.111! Introductory Digital Systems Laboratory Welcome to 6.111! Introductory Digital Systems Laboratory Handouts: Info form (yellow) Course Calendar Lecture slides Lectures: Ike Chuang Chris Terman TAs: Javier Castro Eric Fellheimer Jae Lee Willie

More information

FPGA Implementation of Desensitized Half Band Filters

FPGA Implementation of Desensitized Half Band Filters The International Journal Of Engineering And Science (IJES) Volume Issue 4 Pages - ISSN(e): 9 8 ISSN(p): 9 8 FPGA Implementation of Desensitized Half Band Filters, G P Kadam,, Mahesh Sasanur,, Department

More information

STAND ALONE CONTROLLER FOR LINEAR INTERACTING SYSTEM

STAND ALONE CONTROLLER FOR LINEAR INTERACTING SYSTEM STAND ALONE CONTROLLER FOR LINEAR INTERACTING SYSTEM Stand Alone Algorithm Approach P. Rishika Menon 1, S.Sakthi Priya 1, G. Brindha 2 1 Department of Electronics and Instrumentation Engineering, St. Joseph

More information

Digital Receiver Experiment or Reality. Harry Schultz AOC Aardvark Roost Conference Pretoria 13 November 2008

Digital Receiver Experiment or Reality. Harry Schultz AOC Aardvark Roost Conference Pretoria 13 November 2008 Digital Receiver Experiment or Reality Harry Schultz AOC Aardvark Roost Conference Pretoria 13 November 2008 Contents Definition of a Digital Receiver. Advantages of using digital receiver techniques.

More information

DESIGN AND DEVELOPMENT OF CAMERA INTERFACE CONTROLLER WITH VIDEO PRE- PROCESSING MODULES ON FPGA FOR MAVS

DESIGN AND DEVELOPMENT OF CAMERA INTERFACE CONTROLLER WITH VIDEO PRE- PROCESSING MODULES ON FPGA FOR MAVS DESIGN AND DEVELOPMENT OF CAMERA INTERFACE CONTROLLER WITH VIDEO PRE- PROCESSING MODULES ON FPGA FOR MAVS O. Ranganathan 1, *Abdul Imran Rasheed 2 1- M.Sc [Engg.] student, 2-Assistant Professor Department

More information

Classic. Feature. EPLD Family. Table 1. Classic Device Features

Classic. Feature. EPLD Family. Table 1. Classic Device Features Classic EPLD Family May 1999, ver. 5 Data Sheet Features Complete device family with logic densities of 300 to 900 usable gates (see Table 1) Device erasure and reprogramming with non-volatile EPROM configuration

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 4, Issue 11, May 2015

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 4, Issue 11, May 2015 Field Programmable Gate Array Based Intelligent Traffic Light System Agho Osarenomase, Faisal Sani Bala, Ganiyu Bakare Department of Electrical and Electronics Engineering, Faculty of Engineering, Abubakar

More information

Assignment 8 Analyzing Operational Amplifiers in MATLAB and PSpice

Assignment 8 Analyzing Operational Amplifiers in MATLAB and PSpice ECEL 301 ECE Laboratory I Dr. A. Fontecchio Assignment 8 Analyzing Operational Amplifiers in MATLAB and PSpice Goal Characterize critical parameters of the inverting or non-inverting opampbased amplifiers.

More information

CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER

CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER 8 CHAPTER 6 IMPLEMENTATION OF FPGA BASED CASCADED MULTILEVEL INVERTER 6.1 INTRODUCTION In this part of research, a proto type model of FPGA based nine level cascaded inverter has been fabricated to improve

More information

LLRF4 Evaluation Board

LLRF4 Evaluation Board LLRF4 Evaluation Board USPAS Lab Reference Author: Dmitry Teytelman Revision: 1.1 June 11, 2009 Copyright Dimtel, Inc., 2009. All rights reserved. Dimtel, Inc. 2059 Camden Avenue, Suite 136 San Jose, CA

More information

Section 1. Fundamentals of DDS Technology

Section 1. Fundamentals of DDS Technology Section 1. Fundamentals of DDS Technology Overview Direct digital synthesis (DDS) is a technique for using digital data processing blocks as a means to generate a frequency- and phase-tunable output signal

More information

Introduction (concepts and definitions)

Introduction (concepts and definitions) Objectives: Introduction (digital system design concepts and definitions). Advantages and drawbacks of digital techniques compared with analog. Digital Abstraction. Synchronous and Asynchronous Systems.

More information

IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 06, 2017 ISSN (online):

IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 06, 2017 ISSN (online): IJSRD - International Journal for Scientific Research & Development Vol. 5, Issue 06, 2017 ISSN (online): 2321-0613 Realization of Variable Digital Filter for Software Defined Radio Channelizers Geeta

More information

From Antenna to Bits:

From Antenna to Bits: From Antenna to Bits: Wireless System Design with MATLAB and Simulink Cynthia Cudicini Application Engineering Manager MathWorks cynthia.cudicini@mathworks.fr 1 Innovations in the World of Wireless Everything

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

Implementation of Truncated Multiplier for FIR Filter based on FPGA

Implementation of Truncated Multiplier for FIR Filter based on FPGA Implementation of Truncated Multiplier for FIR Filter based on FPGA Mr. A. D. Wankhade P.G. Scholar Department of ECE Government College of Engineering, Amravati wankhadeakash9@gmail.com Mr. S. S.Thorat

More information

Keywords: CIC Filter, Field Programmable Gate Array (FPGA), Decimator, Interpolator, Modelsim and Chipscope.

Keywords: CIC Filter, Field Programmable Gate Array (FPGA), Decimator, Interpolator, Modelsim and Chipscope. www.semargroup.org, www.ijsetr.com ISSN 2319-8885 Vol.03,Issue.25 September-2014, Pages:5002-5008 VHDL Implementation of Optimized Cascaded Integrator Comb (CIC) Filters for Ultra High Speed Wideband Rate

More information

Ballari Institute of Technology & Management Ballari Department of Electrical and Electronics Engineering. Vision & Mission of the Institute

Ballari Institute of Technology & Management Ballari Department of Electrical and Electronics Engineering. Vision & Mission of the Institute Ballari Institute of Technology & Management Ballari Department of Electrical and Electronics Engineering Vision & Mission of the Institute Vision We will be a top notch educational Institution that provides

More information

Keysight Technologies N7622B Signal Studio for Toolkit. Technical Overview

Keysight Technologies N7622B Signal Studio for Toolkit. Technical Overview Keysight Technologies N7622B Signal Studio for Toolkit Technical Overview 02 Keysight N7622B Signal Studio for Toolkit - Data Sheet Features Free software utility compatible with multiple hardware platforms

More information

RF Comparator XT06 DELIVERABLES. Datasheet GDSII database Customer support

RF Comparator XT06 DELIVERABLES. Datasheet GDSII database Customer support RF Comparator XT06 DATA SHEET FEATURES FUNCTIONAL BLOCK DIAGRAM Single-supply operation: 3 V to 5 V 4 ns propagation delay at 5 V supply voltage Up to 150 MHz input Latch function HIGHLIGHTS Low input

More information

Quartus II Simulation with Verilog Designs

Quartus II Simulation with Verilog Designs Quartus II Simulation with Verilog Designs This tutorial introduces the basic features of the Quartus R II Simulator. It shows how the Simulator can be used to assess the correctness and performance of

More information

Using IBIS Models for Timing Analysis

Using IBIS Models for Timing Analysis Application Report SPRA839A - April 2003 Using IBIS Models for Timing Analysis ABSTRACT C6000 Hardware Applications Today s high-speed interfaces require strict timings and accurate system design. To achieve

More information

Tel: +44 (0) Martin Burbidge V1 (V) XU2 oscout

Tel: +44 (0) Martin Burbidge V1 (V) XU2 oscout PLL Tests Simulation Models and Equations. Author Details: Dr. Martin John Burbidge Lancashire United Kingdom Tel: +44 (0)1524 825064 Email: martin@mjb-rfelectronics-synthesis.com Martin Burbidge 2006

More information

Implementation of a BPSK Transceiver for use with KUAR

Implementation of a BPSK Transceiver for use with KUAR Implementation of a BPSK Transceiver for use with KUAR Ryan Reed M.S. Candidate Information and Telecommunication Technology Center Electrical Engineering and Computer Science The University of Kansas

More information

AN294. Si825X FREQUENCY COMPENSATION SIMULATOR FOR D IGITAL BUCK CONVERTERS

AN294. Si825X FREQUENCY COMPENSATION SIMULATOR FOR D IGITAL BUCK CONVERTERS Si825X FREQUENCY COMPENSATION SIMULATOR FOR D IGITAL BUCK CONVERTERS Relevant Devices This application note applies to the Si8250/1/2 Digital Power Controller and Silicon Laboratories Single-phase POL

More information

DESIGN AND FPGA IMPLEMENTATION OF SLIDING MODE CONTROLLER FOR BUCK CONVERTER

DESIGN AND FPGA IMPLEMENTATION OF SLIDING MODE CONTROLLER FOR BUCK CONVERTER DESIGN AND FPGA IMPLEMENTATION OF SLIDING MODE CONTROLLER FOR BUCK CONVERTER 1 ABHINAV PRABHU, 2 SHUBHA RAO K 1 Student (M.Tech in CAID), 2 Associate Professor Department of Electrical and Electronics,

More information

A DSP Based Class D Audio Amplifier *

A DSP Based Class D Audio Amplifier * OpenStax-CNX module: m22177 1 A DSP Based Class D Audio Amplifier * Jacob Fainguelernt This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 2.0 Abstract Class

More information

A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM

A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM Abstract: This paper presents a wide-voltage-range, fast-transient all-digital buck converter using a

More information

Audio Sample Rate Conversion in FPGAs

Audio Sample Rate Conversion in FPGAs Audio Sample Rate Conversion in FPGAs An efficient implementation of audio algorithms in programmable logic. by Philipp Jacobsohn Field Applications Engineer Synplicity eutschland GmbH philipp@synplicity.com

More information

High Speed PWM Controller

High Speed PWM Controller High Speed PWM Controller FEATURES Compatible with Voltage or Current Mode Topologies Practical Operation Switching Frequencies to 1MHz 50ns Propagation Delay to Output High Current Dual Totem Pole Outputs

More information

Digital Design With Cpld Applications And Vhdl 2nd Edition Solution Manual

Digital Design With Cpld Applications And Vhdl 2nd Edition Solution Manual Digital Design With Cpld Applications And Vhdl 2nd Edition Solution Manual DIGITAL DESIGN WITH CPLD APPLICATIONS AND VHDL 2ND EDITION SOLUTION MANUAL PDF - Are you looking for digital design with cpld

More information

The Design and Simulation of Embedded FIR Filter based on FPGA and DSP Builder

The Design and Simulation of Embedded FIR Filter based on FPGA and DSP Builder Research Journal of Applied Sciences, Engineering and Technology 6(19): 3489-3494, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: August 09, 2012 Accepted: September

More information