INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

Size: px
Start display at page:

Download "INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)"

Transcription

1 INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) International Journal of Electronics and Communication Engineering & Technology (IJECET), ISSN ISSN (Print) ISSN (Online) Volume 3, Issue 1, January- June (2012), pp IAEME: Journal Impact Factor (2011): (Calculated by GISI) IJECET I A E M E DESIGN OF A UNIFIED TIMING SIGNAL GENERATOR (UTSG) FOR PULSED RADAR Sanjay M Trivedi Scientist/Engineer, Space Applications Centre, Ahmedabad , India. Ph , sanjay@sac.isro.gov.in B. S. Raman Scientist/Engineer, Space Applications Centre, Ahmedabad , India. bsraman@sac.isro.gov.in Pinal Engineer Assistant Professor, Sardar Vallabhbhai National Institute of Technology Surat, India, pinalengineer@gmail.com Dr. Mihir Shah Assistant Professor, Vishvakarma Govt. Engg.College Chandkheda, Ahmedabad, India. mihirec@gmail.com ABSTRACT This paper presents the design of a software defined hardware module called Unified Timing Signal Generator (utsg) for pulsed RADAR (Radio Detection and Ranging). It is a digital programmable multifunction timer generating timings for pulsed radar control applications and is developed using VHDL, Xilinx FPGA (XCV300) being the primary targeted implementation frontend. The tools used for building and testing the software modules are Xilinx ISE 10.1i and ModelSim XE III 6.3c. A test bench was written to generate golden references. The design was evolved, translated into the target hardware and the timing performance captured on oscilloscope and correlated successfully with the golden references. Keywords: FPGA, VHDL, RADAR, PRI, FSM, utsg. 252

2 I. INTRODUCTION Any modern pulsed RADAR has the following basic functions for which control timings with a reference marker need to be generated [1]: 1. Transmission of a pulse of electromagnetic waves of a chosen description in terms of say frequency or bandwidth and power level, during a designated time slot, and repeating the same at designated repetition interval (PRI). 2. Receiving the radar echo and quantizing the same in a time window with a precisely defined timing relationship with the transmitted pulse. 3. Transmit / Receive of any intra-pri calibration timing pulses as identified in the requirements. 4. In case of beam-steered antennas, beam switching signals would be required. These requirements have been met traditionally by either dedicated functional code or by custom logic design (implemented either in programmable logic blocks or by discreet logic elements). Most controllers of such applications now-a-days sport FPGAs or other programmable logic [2]. An elegant and methodical approach to the above timing requirement would be a generic configurable hardware timing generator realized in a FPGA. Such a software defined hardware timing block would provide flexibility and customization and yet be a generic entity covering all type of timing generation requirements. It will be a platform independent module readily available for integration into various hardware defining environments thereby speeding up the design process. Several architectures [2] [3] [6] may be possible for basic timing signal generation however they cannot cover all requirements. This paper develops one such unified generic timing unit, utsg using a delayed-mono stable pulse generator as the core logical building block using VHDL[(Very High Speed Integrated Circuit) Hardware Description Language][4]. II. OVERVIEW OF FUNCTIONAL MODULES Unified Timing Signal generator module developed and tested by authors generates the sequence of pulses with reference to external trigger signal with the feature like programmable pulse sequence, pulse widths and inter pulse delay. Delayed Mono Stable (DMS) Pulse Generator: Standard design implemented as part of counter/timer [2][6] do not have delayed triggering feature. The proposed design is unique which generates an output signal of programmable width after programmable delay with reference to a reference signal. The design is based on software or hardware triggered mono stable pulse generator. Reference trigger signal gives trigger to mono stable pulse generator. Output signal is at default value 0.The pulse generation is derived using two counters corresponding to references 1. OFF Time Counts 2. ON Time Counts. Both reference times are programmable through registers. On trigger, both counters loaded with zero value and OFF counter starts up counting. There is a clock input for counter operation. On maturity of OFF counter Output signal changes as per Figure 1 Delayed Mono Stable Pulse. Next, the ON counter starts and the output holds 1 value till the count matures to ON Time. At end output turns to default value

3 Figure 1 Delayed Mono Stable Pulse Thus the utsg is based on a delayed mono stable architecture. The block supports four modes to cover all types of RADAR timing control signal requirements usually encountered. The technology symbol diagram of the design is as per Figure 2 utsg Interface Detail: RTL Schematic. The Reference trigger signal is an input signal used to start / synchronize the timing function. It is optional in case of free running signal generation. The data and control interface allows convenient connectivity of the unit on to a local bus. time_sig_out signal is the desired output. clk_mc is input control clock for interface and master clock of local bus. clk_tg is timing signal clock input on which the FSM of utsg runs. reset_n signal is master reset on local bus to put utsg in to reset condition. rd(read), wr(write) and cs(chip select) are control signals to interface the utsg to a local bus. Address[4:0] bus is to address 26 registers of the utsg and bidirectional data bus data_mc[7:0] to configure utsg. There are two bytes for mode and control definition and four bytes per DMS generation. No of register required is based on multiple windows mode 4 to cover six window generations. Figure 2 utsg Interface Detail: RTL Schematic 254

4 Operating Modes: 1. Oscillator In this mode, pulse train for OFF period counts and ON period counts is generated. ON TIME and OFF TIME are two programmable registers holds counts for ON/OFF period. Generation is software controlled by enable / disable flag. It is independent of the reference signal input. PRI reference in pulsed RADAR can be generated using this mode. Figure 3 FSM Mode-1 describes FSM flow of state machine. Figure 3 FSM Mode-1 FSM_M1 state is wait state or reset state waiting for software enable to occur. The output signal is in reset condition i.e. logic level 0. On setting of enable =1 bit in configuration register, two counters, OFF counter and ON counter, are made zero and the state of the unit changes to OFF_TIME state. In this state the OFF counter is incremented on every state clock. It may be noted that the output remains in 0 level during this state. When OFF counter equals to OFF time the state machine advances to the state of ON_TIME. In ON_TIME state output level changes to 1 and the ON counter increments on every state clock. When the ON Counter equals ON Time, the output changes back to 0 level and state machine parks itself in FSM_M1 state, If enable flag = 1 then it repeats the cycle. 2. Burst Mode This mode generates multiple pulses of same duration for N times on every reference trigger pulse. N is a programmable pulse-counter. The duration is defined by the sum of OFF period counts and ON period counts. The loop of generation of the pulse is repeated N times on every reference trigger. Basic reference signal generated by mode 1 is used for reference input. Output Transmit pulse / Receive data window can be generated using this mode. Figure 4 FSM Mode 2 describes the flow of the state machine in this mode. 255

5 3. Counter Mode Figure 4 FSM Mode 2 In this mode a counter counts input reference occurrences for N times. This N is a programmable Pulse Count. On the first, and thereafter once every N occurrences of reference only, one delayed mono shot pulse is generated. This kind of timing is often required for synchronizing signals in beam-steering applications of active antennas. Figure 5 FSM Mode 3 describes this FSM state flow. PRF_counter is a variable that counts input trigger. First time it is initialised with value N and so first time it completes basic loop of OFF_TIME and ON_TIME. Second occurrence of trigger will not allow loop to execute in case of N>1. 4. Multiple Windows Figure 5 FSM Mode 3 In this mode multiple delayed pulses of different programmable durations can be generated with reference to input trigger pulse, as illustrated in Figure 6 FSM Mode 4. Each pulse is referred to as one window. There are multiple ON/OFF times corresponding to different windows. The pulse generation repeats itself for the programmed number of windows and each time the corresponding ON/OFF times is 256

6 compared. This mode meets requirement of a control signal, encountered in scanning radar systems with time-sensitive data capture for received data, multiple calibration data and auxiliary data on every scan. Figure 6 FSM Mode 4 III. Test setup and Results Although the basic concept of this design can be realized and tested in simulation environment, in this exercise the soft design is developed, simulated and actually implemented in hardware to make sure that there are no implementation related issues. A single-board RADAR controller card developed for radar control applications is used for testing of this implementation. The following are the details of simulation, implementation and testing. The RADAR controller card is based on a Xilinx FPGA xcv300-4pq240[5] and a microcontroller 80c51F020[6]. Microcontroller is used to interface serially through UART with external RS232 interface and internally to generate Address / Data and control bus for interfacing with utsg design. There are four elements in total test setup as shown in Figure 7 Test Setup. 1. A PC running GUI based control program is used to send commands to the utsg running in the target single-board controller card. The utility program allows saving and retrieval of configuration files on PC for testing purpose. Once a configuration selected or entered, command string gets transmitted through communication port (COM Port). This software is developed using Visual Basic 6 on MS-Windows XP platform. 2. Multi output calibrated Programmable Power Supply from Agilent to generate +5V DC, +3.3V DC and +2.5V DC. 3. FPGA Board. 4. Oscilloscope to measure and record the waveforms. 257

7 Figure 7 Test Setup Functional testing of the Universal Timing Signal Generator module has been carried out by creating a VHDL project with two instantiations of the utsg module as shown in Figure 8 Implementation Project View). Instances are named as utsg1 and utsg2. CD is clock driver block and DEC is decoder to select utsg1 and utsg2. ISE 10.1i [7] EDA tool is used for compilation and implementation of design. The reference trigger input required for functional verification of the project was generated using VHDL test bench. Stimulus was generated to run the first utsg module in the free running mode (Mode 1) & the second utsg module to test rest of three modes one by one. Register values were loaded with various count values and output timing signal was observed in Modelsim simulator [8]. Figure 8 Implementation Project View 258

8 Figure 9 Simulation Results, is the golden reference for the signals generated in all operating modes. In Mode1, 10% duty cycle reference signal is generated by utsg1 that is serving as reference trigger signal to test other modes. utsg2 running in different modes 2 to 4. In mode 2 DMS, OFF time and ON time is of four counts and also repeat pulse count is of value four. Mode 3 counter DMS simulation result indicates output pulse generation on first and every fourth pulse of reference input signal. In mode 4 multi window output, multiple pulses of different ON time / OFF time are generated. Figure 9 Simulation Results Simulation is carried out in ModelSim simulator package [8]. Keeping the same configuration data, implementation was done on FPGA of the single-board RADAR Controller card. Figure 10 Implementation Results) is output captured on oscilloscope for one by one mode. Figure 10 Implementation Results 259

9 The following basic RADAR signals, 1. Transmit Pulse (TX), 2. Tx Control Pulse that is expanded pulse for protection switch, 3. Data Window for capturing received signal and three switch specific control signals (Rx Sw Ctrl, STC0 and STC clock) are generated using utsg as per Figure 11 RADAR Control Signals. Figure 11 RADAR Control Signals Compressed view for multiple pulse simulation is in Figure 12 Multiple Pulses. Figure 12 Multiple Pulses IV. Cost Benefit Analysis As has been described, this implementation of the utsg is generic in nature with multiple operating modes. Although the generic implementation has application level advantages, there might be some penalty to pay for the advantages gained. Table 1 presents the resource demand of the implementation for selected Xilinx device environments which have been the mainstay implementation platforms in this study. S.No. Mode of Operation Table 1 Implementation Comparison Fractional Utilization of Resources (% of utsg Allocation) Approximate Absolute Resource Demand (Xilinx Virtex Slice Level) (per Instantiation) 1 Mode S/FFs 2 Mode S/FFs Resource utilization per instantiation of utsg as part of a few currently used Xilinx Devices XQVR300 (6,144 Logical Cells) XQVR600 (15,552 Logical Cells) Virtex 4 (152,064 Logical Cells) 260

10 3 Mode S/FFs 4 Mode S/FFs 5 Total S/FFs 7% per utsg 3.5% Per utsg ~ 1% Per utsg It can be seen that although in the lower order modes the fractional utilization of resources allocated to the utsg are on the lower side, the full resource demand of the utsg instantiation as a fraction of device resources is a small number at several to a few percent for low density devices like the XQVR300 or 600, and falls rapidly for higher density devices. The state of the Art is Virtex 7 device but it can be seen that beyond Virtex 4 the resource demand is truly negligible and is unlikely to affect the gross functionality of the rest of the logic that may be coded into the device in any of the applications. The relative underutilization inside the allocation for utsg is thus not a matter for concern in most practical situations. However utsg implementation can be trimmed and subset can be used for application specific optimisation. V. CONCLUSIONS A Delayed Mono Stable pulse generator can serve as a basic element to generate multiple delayed pulses with a common reference and serve the need of most pulsed radar timing signal necessities. The Unified Timing Signal Generator entity is tested for all modes and all options in simulation and targeted results are achieved in actual implementation. One TSG unit consumes a maximum of 7% of the resources of the low-density Xilinx device XCV300, and the fractional resource demand rapidly falls for higher density devices and ceases to be a concern for the state of the art devices. Thus all critical timing signal requirements of a pulsed RADAR applications can be met effectively with this generic utsg approach reaping benefits of reduced extra-device developmental resources including development cycle time. Future work can be taken up for architecture dependent implementation and optimization for different technology FPGA / ASIC. VI. REFERENCES [1] RADAR System Design Documents, Space Application Centre Ahmedabad. [2] Mrs. Anudeepa S. Kholapure,Dr. Arvind Agarwal, Mrs. Shikha Nema, Second International Conference on Emerging Trends in Engineering and Technology, ICETET- 09. Design of a Timing Signal Generator (TSG) for RADAR using FPGA. [3]TPU Time Processor Unit Reference Manual (Including The TPU2),Free Scale Semiconductors, p.1.1. [4] A VHDL Primer: Jayaram Bhasker,3 rd Ed. ISBN [5] Xilinx Virtex Datasheet, DS003-1 (v2.5 ) April 2, 2001 [6] Silabs Datasheet, C8051F34x, Rev /06 [7] Xilinx ISE Design Suite 10.1 [8] ModelSim XE III 6.3c Reference Documents. 261

Minimal UART core. All the project files were published on the LGPL terms, you must read the GNU Lesser General Public License for more details.

Minimal UART core. All the project files were published on the LGPL terms, you must read the GNU Lesser General Public License for more details. Minimal UART core Author: Arao Hayashida Filho Published on opencores.org 1- Introduction The fundamental idea of this core is implement a very simple UART in VHDL, using less quantity of logic resources,

More information

Houngninou 2. Abstract

Houngninou 2. Abstract Houngninou 2 Abstract The project consists of designing and building a system that monitors the phase of two pulses A and B. Three colored LEDs are used to identify the phase comparison. When the rising

More information

Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter

Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter Field Programmable Gate Array Implementation and Testing of a Minimum-phase Finite Impulse Response Filter P. K. Gaikwad Department of Electronics Willingdon College, Sangli, India e-mail: pawangaikwad2003

More information

THE DEVELOPMENT OF AN INTEGRATED GRAPHICAL SLS PROCESS CONTROL INTERFACE

THE DEVELOPMENT OF AN INTEGRATED GRAPHICAL SLS PROCESS CONTROL INTERFACE THE DEVELOPMENT OF AN INTEGRATED GRAPHICAL SLS PROCESS CONTROL INTERFACE ABSTRACT Guohua Ma and Richard H. Crawford The University of Texas at Austin This paper presents the systematic development of a

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

Generation of Gaussian Pulses using FPGA for Simulating Nuclear Counting System

Generation of Gaussian Pulses using FPGA for Simulating Nuclear Counting System Generation of Gaussian Pulses using FPGA for Simulating Nuclear Counting System Mohaimina Begum Md. Abdullah Al Mamun Md. Atiar Rahman Sabiha Sattar Abstract- Nuclear radiation counting system is used

More information

DSM303-V4 3.0 GHz Arbitrary Frequency Chirping Module

DSM303-V4 3.0 GHz Arbitrary Frequency Chirping Module DSM303-V4 3.0 GHz Arbitrary Frequency Chirping Module PRODUCT DESCRIPTION The DSM303-V4 module generates arbitrary frequency chirping CW with frequency update rates up to 312.5 updates/microsecond (1/8

More information

Lab 1.2 Joystick Interface

Lab 1.2 Joystick Interface Lab 1.2 Joystick Interface Lab 1.0 + 1.1 PWM Software/Hardware Design (recap) The previous labs in the 1.x series put you through the following progression: Lab 1.0 You learnt some theory behind how one

More information

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK

EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK EFFICIENT FPGA IMPLEMENTATION OF 2 ND ORDER DIGITAL CONTROLLERS USING MATLAB/SIMULINK Vikas Gupta 1, K. Khare 2 and R. P. Singh 2 1 Department of Electronics and Telecommunication, Vidyavardhani s College

More information

EVDP610 IXDP610 Digital PWM Controller IC Evaluation Board

EVDP610 IXDP610 Digital PWM Controller IC Evaluation Board IXDP610 Digital PWM Controller IC Evaluation Board General Description The IXDP610 Digital Pulse Width Modulator (DPWM) is a programmable CMOS LSI device, which accepts digital pulse width data from a

More information

DST501-1 High-Speed Modulated Arbitrary Chirping Module

DST501-1 High-Speed Modulated Arbitrary Chirping Module High-Speed Modulated Arbitrary Chirping Module PRODUCT DESCRIPTION The module generates modulated arbitrary chirping CW with frequency update rates up to 250 updates/microsecond (1/8 of the DDS clock rate).

More information

Topics Introduction to Microprocessors

Topics Introduction to Microprocessors Topics 2244 Introduction to Microprocessors Chapter 8253 Programmable Interval Timer/Counter Suree Pumrin,, Ph.D. Interfacing with 886/888 Programming Mode 2244 Introduction to Microprocessors 2 8253/54

More information

Multi Frequency RFID Read Writer System

Multi Frequency RFID Read Writer System Multi Frequency RFID Read Writer System Uppala Sunitha 1, B Rama Murthy 2, P Thimmaiah 3, K Tanveer Alam 1 PhD Scholar, Department of Electronics, Sri Krishnadevaraya University, Anantapur, A.P, India

More information

EE 314 Spring 2003 Microprocessor Systems

EE 314 Spring 2003 Microprocessor Systems EE 314 Spring 2003 Microprocessor Systems Laboratory Project #9 Closed Loop Control Overview and Introduction This project will bring together several pieces of software and draw on knowledge gained in

More information

Design and Simulation of Universal Asynchronous Receiver Transmitter on Field Programmable Gate Array Using VHDL

Design and Simulation of Universal Asynchronous Receiver Transmitter on Field Programmable Gate Array Using VHDL International Journal Of Scientific Research And Education Volume 2 Issue 7 Pages 1091-1097 July-2014 ISSN (e): 2321-7545 Website:: http://ijsae.in Design and Simulation of Universal Asynchronous Receiver

More information

LV-Link 3.0 Software Interface for LabVIEW

LV-Link 3.0 Software Interface for LabVIEW LV-Link 3.0 Software Interface for LabVIEW LV-Link Software Interface for LabVIEW LV-Link is a library of VIs (Virtual Instruments) that enable LabVIEW programmers to access the data acquisition features

More information

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog

FPGA Implementation of Digital Modulation Techniques BPSK and QPSK using HDL Verilog FPGA Implementation of Digital Techniques BPSK and QPSK using HDL Verilog Neeta Tanawade P. G. Department M.B.E.S. College of Engineering, Ambajogai, India Sagun Sudhansu P. G. Department M.B.E.S. College

More information

The rangefinder can be configured using an I2C machine interface. Settings control the

The rangefinder can be configured using an I2C machine interface. Settings control the Detailed Register Definitions The rangefinder can be configured using an I2C machine interface. Settings control the acquisition and processing of ranging data. The I2C interface supports a transfer rate

More information

From Antenna to Bits:

From Antenna to Bits: From Antenna to Bits: Wireless System Design with MATLAB and Simulink Cynthia Cudicini Application Engineering Manager MathWorks cynthia.cudicini@mathworks.fr 1 Innovations in the World of Wireless Everything

More information

EECS150 Spring 2007 Lab Lecture #5. Shah Bawany. 2/16/2007 EECS150 Lab Lecture #5 1

EECS150 Spring 2007 Lab Lecture #5. Shah Bawany. 2/16/2007 EECS150 Lab Lecture #5 1 Logic Analyzers EECS150 Spring 2007 Lab Lecture #5 Shah Bawany 2/16/2007 EECS150 Lab Lecture #5 1 Today Lab #3 Solution Synplify Warnings Debugging Hardware Administrative Info Logic Analyzer ChipScope

More information

Development of Timer Core Based on 82C54 Using VHDL

Development of Timer Core Based on 82C54 Using VHDL Development of Timer Core Based on 82C54 Using VHDL S.Bhargavi M.Tech Scholar, Department of ECE, Madanapalle Institute of Technology and Sciences, Madanapalle, India. Abstract: This paper proposes a new

More information

SV2C 28 Gbps, 8 Lane SerDes Tester

SV2C 28 Gbps, 8 Lane SerDes Tester SV2C 28 Gbps, 8 Lane SerDes Tester Data Sheet SV2C Personalized SerDes Tester Data Sheet Revision: 1.0 2015-03-19 Revision Revision History Date 1.0 Document release. March 19, 2015 The information in

More information

Design and FPGA Implementation of a High Speed UART. Sonali Dhage, Manali Patil,Navnath Temgire,Pushkar Vaity, Sangeeta Parshionikar

Design and FPGA Implementation of a High Speed UART. Sonali Dhage, Manali Patil,Navnath Temgire,Pushkar Vaity, Sangeeta Parshionikar 106 Design and FPGA Implementation of a High Speed UART Sonali Dhage, Manali Patil,Navnath Temgire,Pushkar Vaity, Sangeeta Parshionikar Abstract- The Universal Asynchronous Receiver Transmitter (UART)

More information

FPGA-BASED PULSED-RF PHASE AND AMPLITUDE DETECTOR AT SLRI

FPGA-BASED PULSED-RF PHASE AND AMPLITUDE DETECTOR AT SLRI doi:10.18429/jacow-icalepcs2017- FPGA-BASED PULSED-RF PHASE AND AMPLITUDE DETECTOR AT SLRI R. Rujanakraikarn, Synchrotron Light Research Institute, Nakhon Ratchasima, Thailand Abstract In this paper, the

More information

Design and Implementation of BSU for IFF Radar System using Xilinx Vertex2Pro FPGA

Design and Implementation of BSU for IFF Radar System using Xilinx Vertex2Pro FPGA Design and Implementation of BSU for IFF Radar System using Xilinx Vertex2Pro FPGA D.K.Tiwari Reena Sharma T Balakrishnan CABS, DRDO, Belur CABS, DRDO, Belur CABS, DRDO, Belur Yemalur Post Bangalore-37

More information

Lab 6 Using PicoBlaze. Speed Punching Game

Lab 6 Using PicoBlaze. Speed Punching Game Lab 6 Using PicoBlaze. Speed Punching Game In this lab, you will program a PicoBlaze microcontroller to interact with various VHDL components in order to implement a game. In this game, the FPGA will repeatedly

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) ISSN 0976 6464(Print) ISSN 0976 6472(Online) Volume 5, Issue 6, June (2014), pp. 18-25 IAEME: http://www.iaeme.com/ijecet.asp

More information

Four Quadrant Speed Control of DC Motor with the Help of AT89S52 Microcontroller

Four Quadrant Speed Control of DC Motor with the Help of AT89S52 Microcontroller Four Quadrant Speed Control of DC Motor with the Help of AT89S52 Microcontroller Rahul Baranwal 1, Omama Aftab 2, Mrs. Deepti Ojha 3 1,2, B.Tech Final Year (Electronics and Communication Engineering),

More information

IJITKMI Volume 6 Number 2 July-December 2013 pp FPGA-based implementation of UART

IJITKMI Volume 6 Number 2 July-December 2013 pp FPGA-based implementation of UART FPGA-based implementation of UART Kamal Kumar Sharma 1 Parul Sharma 2 1 Professor; 2 Assistant Professor Dept. of Electronics and Comm Engineering, E-max School of Engineering and Applied Research, Ambala

More information

Ultrasonic Positioning System EDA385 Embedded Systems Design Advanced Course

Ultrasonic Positioning System EDA385 Embedded Systems Design Advanced Course Ultrasonic Positioning System EDA385 Embedded Systems Design Advanced Course Joakim Arnsby, et04ja@student.lth.se Joakim Baltsén, et05jb4@student.lth.se Simon Nilsson, et05sn9@student.lth.se Erik Osvaldsson,

More information

SonoLab Echo-I User Manual

SonoLab Echo-I User Manual SonoLab Echo-I User Manual Overview: SonoLab Echo-I is a single board digital ultrasound pulse-echo solution. The system has a built in 50 volt high voltage generation circuit, a bipolar pulser, a transmit/receive

More information

9530/8530 Series Pulse Generator Operating Manual

9530/8530 Series Pulse Generator Operating Manual 9530/8530 Series Pulse Generator Operating Manual QUANTUM COMPOSERS, INC PO Box 4248 Bozeman, MT 59772 (406)582-0227 phone (406)582-0237 fax www.quantumcomposers.com Rev 4.9 Contents 1. INTRODUCTION...

More information

Speed Control of BLDC Motor Using FPGA

Speed Control of BLDC Motor Using FPGA Speed Control of BLDC Motor Using FPGA Jisha Kuruvilla 1, Basil George 2, Deepu K 3, Gokul P.T 4, Mathew Jose 5 Assistant Professor, Dept. of EEE, Mar Athanasius College of Engineering, Kothamangalam,

More information

Microprocessor & Interfacing Lecture Programmable Interval Timer

Microprocessor & Interfacing Lecture Programmable Interval Timer Microprocessor & Interfacing Lecture 30 8254 Programmable Interval Timer P A R U L B A N S A L A S S T P R O F E S S O R E C S D E P A R T M E N T D R O N A C H A R Y A C O L L E G E O F E N G I N E E

More information

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP

FPGA IMPLEMENTATION OF POWER EFFICIENT ALL DIGITAL PHASE LOCKED LOOP INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) Proceedings of the International Conference on Emerging Trends in Engineering and Management (ICETEM14) ISSN 0976

More information

GA A23281 EXTENDING DIII D NEUTRAL BEAM MODULATED OPERATIONS WITH A CAMAC BASED TOTAL ON TIME INTERLOCK

GA A23281 EXTENDING DIII D NEUTRAL BEAM MODULATED OPERATIONS WITH A CAMAC BASED TOTAL ON TIME INTERLOCK GA A23281 EXTENDING DIII D NEUTRAL BEAM MODULATED OPERATIONS WITH A CAMAC BASED TOTAL ON TIME INTERLOCK by D.S. BAGGEST, J.D. BROESCH, and J.C. PHILLIPS NOVEMBER 1999 DISCLAIMER This report was prepared

More information

TIMING, TRIGGER AND CONTROL INTERFACE MODULE FOR ATLAS SCT READ OUT ELECTRONICS

TIMING, TRIGGER AND CONTROL INTERFACE MODULE FOR ATLAS SCT READ OUT ELECTRONICS TIMING, TRIGGER AND CONTROL INTERFACE MODULE FOR ATLAS SCT READ OUT ELECTRONICS Jonathan Butterworth ( email : jmb@hep.ucl.ac.uk ) Dominic Hayes ( email : dah@hep.ucl.ac.uk ) John Lane ( email : jbl@hep.ucl.ac.uk

More information

Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA

Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA By Raajit Lall, Abhishek Rao, Sandeep Hari, and Vinay Kumar Spectral measurements for some of the Multiple

More information

TOUCH SCREEN BASED SPEED CONTROL OF SINGLE PHASE INDUCTION MOTOR

TOUCH SCREEN BASED SPEED CONTROL OF SINGLE PHASE INDUCTION MOTOR TOUCH SCREEN BASED SPEED CONTROL OF SINGLE PHASE INDUCTION MOTOR Neetu Singh M.R 1, Sarat Kumar Sahoo 2 1 Student, 2 Assistant Professor, School of Electrical Engineering, VIT University, (India) ABSTRACT

More information

SV3C CPTX MIPI C-PHY Generator. Data Sheet

SV3C CPTX MIPI C-PHY Generator. Data Sheet SV3C CPTX MIPI C-PHY Generator Data Sheet Table of Contents Table of Contents Table of Contents... 1 List of Figures... 2 List of Tables... 2 Introduction... 3 Overview... 3 Key Benefits... 3 Applications...

More information

D16550 IP Core. Configurable UART with FIFO v. 2.25

D16550 IP Core. Configurable UART with FIFO v. 2.25 2017 D16550 IP Core Configurable UART with FIFO v. 2.25 C O M P A N Y O V E R V I E W Digital Core Design is a leading IP Core provider and a SystemonChip design house. The company was founded in 1999

More information

Using an FPGA based system for IEEE 1641 waveform generation

Using an FPGA based system for IEEE 1641 waveform generation Using an FPGA based system for IEEE 1641 waveform generation Colin Baker EADS Test & Services (UK) Ltd 23 25 Cobham Road Wimborne, Dorset, UK colin.baker@eads-ts.com Ashley Hulme EADS Test Engineering

More information

Used in Image Acquisition Area CCD Driving Circuit Design

Used in Image Acquisition Area CCD Driving Circuit Design Used in Image Acquisition Area CCD Driving Circuit Design Yanyan Liu Institute of Electronic and Information Engineering Changchun University of Science and Technology Room 318, BLD 1, No.7089, Weixing

More information

Automatic Gain Control Scheme for Bursty Point-to- Multipoint Wireless Communication System

Automatic Gain Control Scheme for Bursty Point-to- Multipoint Wireless Communication System Automatic Gain Control Scheme for Bursty Point-to- Multipoint Wireless Communication System Peter John Green, Goh Lee Kee, Syed Naveen Altaf Ahmed Advanced Communication Department Communication and Network

More information

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI

CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 98 CHAPTER 5 NOVEL CARRIER FUNCTION FOR FUNDAMENTAL FORTIFICATION IN VSI 5.1 INTRODUCTION This chapter deals with the design and development of FPGA based PWM generation with the focus on to improve the

More information

2014, IJARCSSE All Rights Reserved Page 459

2014, IJARCSSE All Rights Reserved Page 459 Volume 4, Issue 9, September 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Verilog Implementation

More information

dspic30f Quadrature Encoder Interface Module

dspic30f Quadrature Encoder Interface Module DS Digital Signal Controller dspic30f Quadrature Encoder Interface Module 2005 Microchip Technology Incorporated. All Rights Reserved. dspic30f Quadrature Encoder Interface Module 1 Welcome to the dspic30f

More information

LAX016 Series Logic Analyzer User Guide

LAX016 Series Logic Analyzer User Guide LAX016 Series Logic Analyzer User Guide QQ: 415942827 1 Contents I Overview... 4 1 Basic knowledge... 4 2 Product series... 4 3 Technical specification... 5 II Brief introduction to JkiSuite software...

More information

RF and Microwave Test and Design Roadshow Cape Town & Midrand

RF and Microwave Test and Design Roadshow Cape Town & Midrand RF and Microwave Test and Design Roadshow Cape Town & Midrand Advanced PXI Technologies Signal Recording, FPGA s, and Synchronization Philip Ehlers Outline Introduction to the PXI Architecture PXI Data

More information

Low-Cost and Portable Interactive Sinusoidal Digital Signal Generator by Using FPGA

Low-Cost and Portable Interactive Sinusoidal Digital Signal Generator by Using FPGA Low-Cost and Portable Interactive Sinusoidal Digital Signal Generator by Using FPGA Aiman Zakwan Jidin 1,2, Irna Nadira Mahzan 1, Nurulhalim Hassim 1, Ahmad Fauzan Kadmin 1 1 Faculty of Engineering Technology,

More information

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM 1 J. H.VARDE, 2 N.B.GOHIL, 3 J.H.SHAH 1 Electronics & Communication Department, Gujarat Technological University, Ahmadabad, India

More information

Modernised GNSS Receiver and Design Methodology

Modernised GNSS Receiver and Design Methodology Modernised GNSS Receiver and Design Methodology March 12, 2007 Overview Motivation Design targets HW architecture Receiver ASIC Design methodology Design and simulation Real Time Emulation Software module

More information

DS1621. Digital Thermometer and Thermostat FEATURES PIN ASSIGNMENT

DS1621. Digital Thermometer and Thermostat FEATURES PIN ASSIGNMENT DS1621 Digital Thermometer and Thermostat FEATURES Temperature measurements require no external components Measures temperatures from 55 C to +125 C in 0.5 C increments. Fahrenheit equivalent is 67 F to

More information

Course Introduction. Content 20 pages 3 questions. Learning Time 30 minutes

Course Introduction. Content 20 pages 3 questions. Learning Time 30 minutes Purpose The intent of this course is to provide you with information about the main features of the S08 Timer/PWM (TPM) interface module and how to configure and use it in common applications. Objectives

More information

Vol. 4, No. 4 April 2013 ISSN Journal of Emerging Trends in Computing and Information Sciences CIS Journal. All rights reserved.

Vol. 4, No. 4 April 2013 ISSN Journal of Emerging Trends in Computing and Information Sciences CIS Journal. All rights reserved. FPGA Implementation Platform for MIMO- Based on UART 1 Sherif Moussa,, 2 Ahmed M.Abdel Razik, 3 Adel Omar Dahmane, 4 Habib Hamam 1,3 Elec and Comp. Eng. Department, Université du Québec à Trois-Rivières,

More information

Using Z8 Encore! XP MCU for RMS Calculation

Using Z8 Encore! XP MCU for RMS Calculation Application te Using Z8 Encore! XP MCU for RMS Calculation Abstract This application note discusses an algorithm for computing the Root Mean Square (RMS) value of a sinusoidal AC input signal using the

More information

Cyclone II Filtering Lab

Cyclone II Filtering Lab May 2005, ver. 1.0 Application Note 376 Introduction The Cyclone II filtering lab design provided in the DSP Development Kit, Cyclone II Edition, shows you how to use the Altera DSP Builder for system

More information

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL 1 PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL Pradeep Patel Instrumentation and Control Department Prof. Deepali Shah Instrumentation and Control Department L. D. College

More information

Stratix Filtering Reference Design

Stratix Filtering Reference Design Stratix Filtering Reference Design December 2004, ver. 3.0 Application Note 245 Introduction The filtering reference designs provided in the DSP Development Kit, Stratix Edition, and in the DSP Development

More information

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER

CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 87 CHAPTER 4 FIELD PROGRAMMABLE GATE ARRAY IMPLEMENTATION OF FIVE LEVEL CASCADED MULTILEVEL INVERTER 4.1 INTRODUCTION The Field Programmable Gate Array (FPGA) is a high performance data processing general

More information

PERFORMANCE CONSIDERATIONS FOR PULSED ANTENNA MEASUREMENTS

PERFORMANCE CONSIDERATIONS FOR PULSED ANTENNA MEASUREMENTS PERFORMANCE CONSIDERATIONS FOR PULSED ANTENNA MEASUREMENTS David S. Fooshe Nearfield Systems Inc., 19730 Magellan Drive Torrance, CA 90502 USA ABSTRACT Previous AMTA papers have discussed pulsed antenna

More information

D16950 IP Core. Configurable UART with FIFO v. 1.03

D16950 IP Core. Configurable UART with FIFO v. 1.03 2017 D16950 IP Core Configurable UART with FIFO v. 1.03 C O M P A N Y O V E R V I E W Digital Core Design is a leading IP Core provider and a SystemonChip design house. The company was founded in 1999

More information

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM

IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA. This Chapter presents an implementation of area efficient SPWM 3 Chapter 3 IMPLEMENTATION OF QALU BASED SPWM CONTROLLER THROUGH FPGA 3.1. Introduction This Chapter presents an implementation of area efficient SPWM control through single FPGA using Q-Format. The SPWM

More information

ELECTRONICS FOR PULSE PICKERS

ELECTRONICS FOR PULSE PICKERS Rev. 3.07 / 2014 04 10 ELECTRONICS FOR PULSE PICKERS TABLE OF CONTENTS Description... 2 High voltage switches... 3 Appearance / dimensions... 3 Power ratings... 3 Interfaces... 4 Specifications... 6 How

More information

Firmware development and testing of the ATLAS IBL Read-Out Driver card

Firmware development and testing of the ATLAS IBL Read-Out Driver card Firmware development and testing of the ATLAS IBL Read-Out Driver card *a on behalf of the ATLAS Collaboration a University of Washington, Department of Electrical Engineering, Seattle, WA 98195, U.S.A.

More information

AAA. Figure 1: Test setup for output power measurement

AAA. Figure 1: Test setup for output power measurement INTRODUCTION This document describes the different tests that can be done with the nrf24l01+ EVKIT. The tests can be divided into three categories: RF performance tests, Range test and protocol test. It

More information

INTEGRATED CIRCUITS. MF RC500 Active Antenna Concept. March Revision 1.0 PUBLIC. Philips Semiconductors

INTEGRATED CIRCUITS. MF RC500 Active Antenna Concept. March Revision 1.0 PUBLIC. Philips Semiconductors INTEGRATED CIRCUITS Revision 1.0 PUBLIC March 2002 Philips Semiconductors Revision 1.0 March 2002 CONTENTS 1 INTRODUCTION...3 1.1 Scope...3 1.1 General Description...3 2 MASTER AND SLAVE CONFIGURATION...4

More information

DS1075. EconOscillator/Divider PRELIMINARY FEATURES PIN ASSIGNMENT FREQUENCY OPTIONS

DS1075. EconOscillator/Divider PRELIMINARY FEATURES PIN ASSIGNMENT FREQUENCY OPTIONS PRELIMINARY EconOscillator/Divider FEATURES Dual Fixed frequency outputs (200 KHz 100 MHz) User programmable on chip dividers (from 1 513) User programmable on chip prescaler (1, 2, 4) No external components

More information

An MPI Daemon-Based Temperature Controller for an AC Susceptometer

An MPI Daemon-Based Temperature Controller for an AC Susceptometer An MPI Daemon-Based Temperature Controller for an AC Susceptometer S. Roy, A. Chakravarti, S. Sil Assistant Professor, Department of Physics, Visva-Bharati, Santiniketan, India Assistant Professor, Department

More information

DIGITAL BASEBAND PROCESSOR DESIGN OF PASSIVE RADIO FREQUENCY IDENTIFICATION TAG FOR ULTRA WIDEBAND TRANSCEIVER

DIGITAL BASEBAND PROCESSOR DESIGN OF PASSIVE RADIO FREQUENCY IDENTIFICATION TAG FOR ULTRA WIDEBAND TRANSCEIVER DIGITAL BASEBAND PROCESSOR DESIGN OF PASSIVE RADIO FREQUENCY IDENTIFICATION TAG FOR ULTRA WIDEBAND TRANSCEIVER Nallapu Vasantha 1, S. Vidyarani 2 1 M. Tech Scholar (DECS), 2 Associate Professor (DIP) Nalanda

More information

Exercise 3: Serial Interface (RS232)

Exercise 3: Serial Interface (RS232) Exercise 3: Serial Interface (RS232) G. Kemnitz, TU Clausthal, Institute of Computer Science May 23, 2012 Abstract A working circuit design for the receiver of a serial interface is given. It has to be

More information

FPGA Implementation of a Digital Tachometer with Input Filtering

FPGA Implementation of a Digital Tachometer with Input Filtering FPGA Implementation of a Digital Tachometer with Input Filtering Daniel Mic, Stefan Oniga Electrical Department, North University of Baia Mare Dr. Victor Babeş Street 62 a, 430083 Baia Mare, Romania danmic@ubm.ro,

More information

Training Schedule. Robotic System Design using Arduino Platform

Training Schedule. Robotic System Design using Arduino Platform Training Schedule Robotic System Design using Arduino Platform Session - 1 Embedded System Design Basics : Scope : To introduce Embedded Systems hardware design fundamentals to students. Processor Selection

More information

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 2 nd year engineering students FIG-2 Winter/Summer Training Level 1 (Basic & Mandatory) & Level 1.1 continues. Winter/Summer Training

More information

DS1075 EconOscillator/Divider

DS1075 EconOscillator/Divider EconOscillator/Divider www.dalsemi.com FEATURES Dual Fixed frequency outputs (30 KHz - 100 MHz) User-programmable on-chip dividers (from 1-513) User-programmable on-chip prescaler (1, 2, 4) No external

More information

Hello and welcome to this Renesas Interactive Course that provides an overview of the timers found on RL78 MCUs.

Hello and welcome to this Renesas Interactive Course that provides an overview of the timers found on RL78 MCUs. Hello and welcome to this Renesas Interactive Course that provides an overview of the timers found on RL78 MCUs. 1 The purpose of this course is to provide an introduction to the RL78 timer Architecture.

More information

Scalable Front-End Digital Signal Processing for a Phased Array Radar Demonstrator. International Radar Symposium 2012 Warsaw, 24 May 2012

Scalable Front-End Digital Signal Processing for a Phased Array Radar Demonstrator. International Radar Symposium 2012 Warsaw, 24 May 2012 Scalable Front-End Digital Signal Processing for a Phased Array Radar Demonstrator F. Winterstein, G. Sessler, M. Montagna, M. Mendijur, G. Dauron, PM. Besso International Radar Symposium 2012 Warsaw,

More information

Design & Implementation of IP 1

Design & Implementation of IP 1 I I International Journal of Scientific Engineering and Applied Science (IJSEAS) - Volume-1, Issue-3, June 015 ISSN: 395-3470 1,, Design & Implementation of I 1 C rotocol Chinmay Modi R, RHeli Shah, Bhargav

More information

Mach 5 100,000 PPS Energy Meter Operating Instructions

Mach 5 100,000 PPS Energy Meter Operating Instructions Mach 5 100,000 PPS Energy Meter Operating Instructions Rev AF 3/18/2010 Page 1 of 45 Contents Introduction... 3 Installing the Software... 4 Power Source... 6 Probe Connection... 6 Indicator LED s... 6

More information

Communication interfaces measuring random and pseudo-random signals

Communication interfaces measuring random and pseudo-random signals Communication interfaces measuring random and pseudo-random signals Laboratory Instruction Elektroniki Elektroniki Elektroniki Prepared by: Łukasz Buczek Elektroniki Elektroniki Katedr 1. Aim of the exercise

More information

International Journal of Advance Engineering and Research Development AUTOMATIC METER READING FOR ELECTRIC BOARD USING RF (RADIO FREQUENCY)

International Journal of Advance Engineering and Research Development AUTOMATIC METER READING FOR ELECTRIC BOARD USING RF (RADIO FREQUENCY) Scientific Journal of Impact Factor (SJIF): 3.134 International Journal of Advance Engineering and Research Development Volume 2, Issue 12, December -2015 e-issn (O): 2348-4470 p-issn (P): 2348-6406 AUTOMATIC

More information

Decision Based Median Filter Algorithm Using Resource Optimized FPGA to Extract Impulse Noise

Decision Based Median Filter Algorithm Using Resource Optimized FPGA to Extract Impulse Noise Journal of Embedded Systems, 2014, Vol. 2, No. 1, 18-22 Available online at http://pubs.sciepub.com/jes/2/1/4 Science and Education Publishing DOI:10.12691/jes-2-1-4 Decision Based Median Filter Algorithm

More information

DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION

DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION DIGITAL SYSTEM DESIGN WITH VHDL AND FPGA CONTROLLER BASED PULSE WIDTH MODULATION Muzakkir Mas ud Adamu Depertment of Computer Engineering, Hussaini Adamu Federal Polytechnic Kazaure, Jigawa State Nigeria.

More information

Brian Hanna Meteor IP 2007 Microcontroller

Brian Hanna Meteor IP 2007 Microcontroller MSP430 Overview: The purpose of the microcontroller is to execute a series of commands in a loop while waiting for commands from ground control to do otherwise. While it has not received a command it populates

More information

DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS. In this Chapter the SPWM and SVPWM controllers are designed and

DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS. In this Chapter the SPWM and SVPWM controllers are designed and 77 Chapter 5 DYNAMICALLY RECONFIGURABLE PWM CONTROLLER FOR THREE PHASE VOLTAGE SOURCE INVERTERS In this Chapter the SPWM and SVPWM controllers are designed and implemented in Dynamic Partial Reconfigurable

More information

DS1073 3V EconOscillator/Divider

DS1073 3V EconOscillator/Divider 3V EconOscillator/Divider wwwmaxim-iccom FEATURES Dual fixed-frequency outputs (30kHz to 100MHz) User-programmable on-chip dividers (from 1 to 513) User-programmable on-chip prescaler (1, 2, 4) No external

More information

Fixed-function (FF) implementation for PSoC 3 and PSoC 5LP devices

Fixed-function (FF) implementation for PSoC 3 and PSoC 5LP devices 3.30 Features 8- or 16-bit resolution Multiple pulse width output modes Configurable trigger Configurable capture Configurable hardware/software enable Configurable dead band Multiple configurable kill

More information

Implementation of Space Time Block Codes for Wimax Applications

Implementation of Space Time Block Codes for Wimax Applications Implementation of Space Time Block Codes for Wimax Applications M Ravi 1, A Madhusudhan 2 1 M.Tech Student, CVSR College of Engineering Department of Electronics and Communication Engineering Hyderabad,

More information

Project Name Here CSEE 4840 Project Design Document. Thomas Chau Ben Sack Peter Tsonev

Project Name Here CSEE 4840 Project Design Document. Thomas Chau Ben Sack Peter Tsonev Project Name Here CSEE 4840 Project Design Document Thomas Chau tc2165@columbia.edu Ben Sack bs2535@columbia.edu Peter Tsonev pvt2101@columbia.edu Table of contents: Introduction Page 3 Block Diagram Page

More information

Implementation of High Precision Time to Digital Converters in FPGA Devices

Implementation of High Precision Time to Digital Converters in FPGA Devices Implementation of High Precision Time to Digital Converters in FPGA Devices Tobias Harion () Implementation of HPTDCs in FPGAs January 22, 2010 1 / 27 Contents: 1 Methods for time interval measurements

More information

Digital Logic ircuits Circuits Fundamentals I Fundamentals I

Digital Logic ircuits Circuits Fundamentals I Fundamentals I Digital Logic Circuits Fundamentals I Fundamentals I 1 Digital and Analog Quantities Electronic circuits can be divided into two categories. Digital Electronics : deals with discrete values (= sampled

More information

Fast, flexible, highly reliable image acquisition

Fast, flexible, highly reliable image acquisition Fast, flexible, highly reliable image acquisition The X64-CL Express is a Camera Link frame grabber that is based on the PCI Express x1 interface next generation bus interface technology for the host PCs.

More information

Lab 2.2 Custom slave programmable interface

Lab 2.2 Custom slave programmable interface Lab 2.2 Custom slave programmable interface Introduction In the previous labs, you used a system integration tool (Qsys) to create a full FPGA-based system comprised of a processor, on-chip memory, a JTAG

More information

Arduino Platform Capabilities in Multitasking. environment.

Arduino Platform Capabilities in Multitasking. environment. 7 th International Scientific Conference Technics and Informatics in Education Faculty of Technical Sciences, Čačak, Serbia, 25-27 th May 2018 Session 3: Engineering Education and Practice UDC: 004.42

More information

MEASURING PHYSICAL DIMENSIONS WITH LASER BEAM AND PROGRAMMABLE LOGIC

MEASURING PHYSICAL DIMENSIONS WITH LASER BEAM AND PROGRAMMABLE LOGIC MEASURING PHYSICAL DIMENSIONS WITH LASER BEAM AND PROGRAMMABLE LOGIC Todor Djamiykov, Yavor Donkov, Atanas Rusev Department of Electronics, Technical university, 8 Kliment Ohridski, 1756 Sofia, Bulgaria,

More information

USB Multifunction Arbitrary Waveform Generator AWG2300. User Guide

USB Multifunction Arbitrary Waveform Generator AWG2300. User Guide USB Multifunction Arbitrary Waveform Generator AWG2300 User Guide Contents Safety information... 3 About this guide... 4 AWG2300 specifications... 5 Chapter 1. Product introduction 1 1. Package contents......

More information

DESIGN AND IMPLEMENTATION OF TRACKING RECEIVER REMOTE TERMINAL FPGA CARD FOR SAT-4

DESIGN AND IMPLEMENTATION OF TRACKING RECEIVER REMOTE TERMINAL FPGA CARD FOR SAT-4 Int. J. Elec&Electr.Eng&Telecoms. 2015 Swathi B M and Rashmi S Bhaskar, 2015 Research Paper ISSN 2319 2518 www.ijeetc.com Vol. 4, No. 3, July 2015 2015 IJEETC. All Rights Reserved DESIGN AND IMPLEMENTATION

More information

ANLAN203. KSZ84xx GPIO Pin Output Functionality. Introduction. Overview of GPIO and TOU

ANLAN203. KSZ84xx GPIO Pin Output Functionality. Introduction. Overview of GPIO and TOU ANLAN203 KSZ84xx GPIO Pin Output Functionality Introduction Devices in Micrel s ETHERSYNCH family have several GPIO pins that are linked to the internal IEEE 1588 precision time protocol (PTP) clock. These

More information

DS1307ZN. 64 X 8 Serial Real Time Clock PIN ASSIGNMENT FEATURES

DS1307ZN. 64 X 8 Serial Real Time Clock PIN ASSIGNMENT FEATURES DS1307 64 8 Serial Real Time Clock FEATURES Real time clock counts seconds, minutes, hours, date of the month, month, day of the week, and year with leap year compensation valid up to 2100 56 byte nonvolatile

More information

FlexDDS-NG DUAL. Dual-Channel 400 MHz Agile Waveform Generator

FlexDDS-NG DUAL. Dual-Channel 400 MHz Agile Waveform Generator FlexDDS-NG DUAL Dual-Channel 400 MHz Agile Waveform Generator Excellent signal quality Rapid parameter changes Phase-continuous sweeps High speed analog modulation Wieserlabs UG www.wieserlabs.com FlexDDS-NG

More information