High-Speed Low-Power MCML Nanometer Circuits with Near-Threshold Computing

Size: px
Start display at page:

Download "High-Speed Low-Power MCML Nanometer Circuits with Near-Threshold Computing"

Transcription

1 JOURNL OF COMPUTER, VOL. 8, NO., JNUR High-peed Low-Power Nanometer Circuits with Near-Threshold Computing Jianping Hu, Haiyan Ni, and inshui Xia Faculty of Information cience and Technology, Ningbo University, Ningbo City, China bstract In this paper, the standard cells of the high-speed low-power circuits with near-threshold computing are developed. The basic standard cells include buffer/inverter, ND/NND, XOR/XNOR, multiplexer, and full adder. The layout, abstract design and standard-cell characters of near-threshold basic cells are described at a NCU FreePDK 45nm technology. The 2-bit multiplier is verified by using the cells. For normal supply voltage, the basic gates can save more energy and have better performance than the traditional CMO counterparts at GHz or higher operation frequencies. Near-threshold computing for circuits is investigated by scaling down the supply voltage. The results show that the power consumption of circuits that operate on near-threshold regions can be reduced without performance degrading. Index Terms nanometer circuit, near-threshold computing, MO current-mode logic, low power, high-speed operating I. INTRODUCTION MO current mode logic () techniques could be used to realize high-speed circuits []. Therefore, is usually used for high-speed applications such as highspeed processors and Gbps multiplexers for optical transceivers [, 2]. The circuits designed with the techniques can operate over a wide range of frequencies [3]. Moreover, has low noise level due to constant current flowing through supply rails and low crosstalk because of small logic swing. nother interesting advantage of this technique is that their speed and power consumption can be simply adjusted by altering the bias current of the gates without the need for resizing the devices. Therefore, is very suitable for mixed mode integrated circuits in order to reduce the digital inference between the analog and digital blocks. has large static power consumption due to its constant operation current. Recently, the low power designs have obtained quite some attentions [4-7]. P. Heydari and G. Caruso presented the methodologies for the low-power design of -based buffer chain and ring oscillators, respectively [4, 5]. Mohab H. nis et al. proposed the multi-threshold (MT) technology that allows the reduction of the minimum supply voltage of the two-level circuits, thus to lower the power dissipations of the circuits [6]. However, the analysis for MT presented in [6] was based on inaccurate long-channel modeling equations, which is inappropriate for today s nanometer CMO technologies. In [7], H. Hassan et al. presented a comprehensive MT analytical formulation based on the IM3v3 model. In this work, the standard cells of the high-speed lowpower circuits with near-threshold computing are developed. The basic standard cells include buffer/inverter, ND/NND, XOR/XNOR, multiplexer, and full adder. The layout, abstract design and standardcell characters of near-threshold basic cells are described at a NCU FreePDK 45nm technology. The 2- bit multiplier is verified by using the proposed cells. Near-threshold computing for circuits is investigated by scaling down the supply voltage. The results show that the power consumption of circuits that operate on near-threshold regions can be reduced without performance degrading. II. OPERTION OF CIRCUIT T NORML UPPL VOLTGE The basic buffer/inverter and its bias circuit are shown in Fig.. The inverter is composed of three main parts: the load transistors and, the full differential pull down switch network consisting of N and N2, and the current source transistor. The load transistors are designed to operate at a linear region with the help of the control voltage produced by the bias circuit, which also controls the output logic swings [8]. The pull-down network (PDN) NMO N and N2 are used to perform logic operation. The NMO is used to provide the constant current source, which is mirrored from the current source in the bias circuit. In the, the two signals and are generated from the bias circuit to ensure the proper operating for output voltage swings and to provide the constant bias current. Figure. uffer/inverter and its bias circuit. doi:.434/jcp

2 3 JOURNL OF COMPUTER, VOL. 8, NO., JNUR 23 is a type of differential logic with differential input logic tree. Therefore, the design of the PDN is similar to other differential logic styles such as DCVL and DL [9]. The complex logic functions can be realized by replacing N and N2 of the buffer/inverter shown in Fig. with NMO logic trees. The ND2/NND2, XOR2/XNOR2 and 2- MUX are shown in Fig. 2. Fig. 2 (a) is also called as universal logic gate, since it can realize the basic two-input logic functions (ND2/NND2, and OR2/NOR2). The additional transistor N5 improves the symmetry of the universal gate, thus to improve the performance of the gate in high-speed applications []. The more complex logic functions can be also realized by replacing N and N2 with NMO logic trees, which is similar to DCVL circuits. The three-input ND3/NND3 and XOR3/XNOR3 based on techniques are shown in Fig. 3. The operation of is performed in the current domain. The pull down network switches the constant current between two branches, and then the load converts the current to output voltage swings. The high and low digital logic levels are V OH = V and V OL = V - I R D, respectively, where R D is the PMO load resistance. The logic swing ΔV = V OH - V OL = I R D. V N3 N4 b N5 V N N2 b (a) ND2/NND2 (a) ND3/NND3 V b b (b) XOR2/XNOR2 V (b) XOR3/XNOR3 Figure 3. three-input gates. Db D D Db b (c) 2-MUX Figure 2. two-input basic gates. III. L OF CIRCUIT ND RE COMPRION In order to show energy efficiency and performance of the circuits, the basic gates have been realized with the NCU FreePDK 45nm technology. Full-custom layouts are drawn. Fig. 4 shows the layout of the two-input basic gates including uffer/inverter, ND2/NND2, XOR2/XNOR2 and 2- MUX.

3 JOURNL OF COMPUTER, VOL. 8, NO., JNUR 23 3 V VP V The layouts of the three-input ND3/NND3 and XOR3/XNOR3 are also realized, which are shown in Fig. 5. Fig. 6 shows the layout of the -bit full adder based on. IN N N2 INb In Inb V V b V P VN C Cb V V (a) uffer/invertwer V VP b V b C Cb b V N N3 N4 N b N5 N2 V b b b V (a) ND3/NND3 V P (b) ND2/NND2 VN C Cb C V V VP V b b Cb C b b b b b b (b) XOR3/XNOR3 V N VN Figure 5. The layouts of three-input gates. (a) ND3/NND3, and (b) XOR3/XNOR3. (c) XOR2/XNOR2 V P V VP Db V D D Db b b V b b b b b Co Cob Ci Cib VN (d) 2-MUX Figure 4. The layouts of two-input basic gates. V N Figure 6. The layout of -bit full adder based on.

4 32 JOURNL OF COMPUTER, VOL. 8, NO., JNUR 23 The layout areas among NCU FreePDK45nm OU_OC library, Nangate 45nm Open Cell library, and Cell library have been compared, as shown in Table I. TLE I. L RE COMPRION MONG NCU FREEPDK45NM OU_OC LIRR, NNGTE 45NM OPEN CELL LIRR, ND CELL LIRR Cell NCU FreePDK45nm osu_soc library rea (μm μm) Nangate 45nm Open Cell Library Library Inverter NND ND NND ND XOR XOR MUX Full adder IV. POT-L IMULTION OF CIRCUIT T NORML UPPL VOLTGE The optimization performance metrics of the gates mainly include propagation delay, power dissipation and power-delay product [-3]. Due to the operating constant current whenever it is either in activate mode or in standby mode, the power consumption of a gate is independent of the switching frequency, and it can been written as P = V I, () where V is the supply voltage, and I is the bias current of the gate. The delay time of a gate can be calculated assuming that, at each transition, the whole I, ideally, flows through one branch of the differential pair and charges the total load capacitance C, is given by t d C ΔV =.69 RC =. 69, (2) I Where I is the operating constant current, R is the equivalent resistance of one branch of the load PMO transistor, C is identical load capacitance on an output node, and ΔV is the output voltage swing that is generated from the bias circuit. The power-delay product is independent of the switching frequency and can be calculated as PDP = P t =. 69V ΔV C. (3) d For given source voltage V and bias current I, the power dissipation of gates is a constant value. It is independent of both the operation frequencies and fanouts. Therefore, the power of gates is also independent of the logic function. The power dissipation of conventional CMO circuits can be expressed as P CMO 2 = fv C, (4) L where f is operation frequency of conventional CMO circuits, and C L is load capacitance of conventional CMO circuits. The power dissipation of CMO circuits depends on the operation frequency linearly. Therefore, there exists a cross-frequency, above which a circuit is more power efficiency than the conventional one. It is importance to estimating the cross-frequency for using effectively circuits from the power efficiency point of view. There is a simple method to estimate the cross-frequency according to the power dissipations of the and conventional CMO gates. When PCMO=P, the cross-frequency f c can be derived as I f c =. (5) VCL It is assumed that the and conventional CMO circuits operate in the same supply voltage. ccording to (5), the cross-frequency f c can be estimated. The power dissipation and power-delay product can be optimized from () (3). n optimization has been carried out for the basic cells at the NCU FreePDK 45nm technology. Post-layout simulations have been carried out using HPICE, and full parasitic extraction is done. Fig. 7 shows comparison results of the energy dissipation of the basic gates per operating cycle among the NCU FreePDK45nm OU_OC library, Nangate Open cell library, and cell library. The operation frequency is 2GHz, and the supply voltage is.v. From Fig. 7, it can be seen that the power dissipation of basic gates is the smallest among the three cell libraries. Moreover, the power dissipations of all basic gates such as inverter, ND2/NND2, MUX2, and XOR2/XNOR2 are almost the same, and independent of their logic structure. The power dissipation of the XOR2/XNOR2 gates based on and conventional CMO circuits at different operation frequencies is shown in Fig. 8 at the NCU FreePDK 45nm technology and.v supply voltage. s the operation frequency rises from KHz to 2GHz, the power dissipations of the traditional CMO basic cells increase rapidly, while the cell keeps a constant value. From Fig. 8, the cross-frequency f c is about GHz. When the cells operate at higher frequencies than GHz, their power dissipation is lower than the traditional CMO cells. This character of circuits makes it fit for high-speed applications.

5 JOURNL OF COMPUTER, VOL. 8, NO., JNUR Nangate NCU FreePDK45 FreePDK45, the XOR2 based on attains about 46.5% and 87.% energy savings, respectively. 8 Nangate NCU FreePDK ND2 ND3 MUX2 XOR2 F 2 Figure 7. The energy dissipation comparisons of and conventional CMO basic gates at 2GHz and.v supply voltage upply voltage (V) Figure 9. The power dissipation of XOR2 based on and conventional CMO circuits at different supply voltages. Figure 8. The power dissipation of XOR2 based on and conventional CMO circuits at different operation frequencies and.v supply voltage. V. NER-THREHOLD COMPUTING FOR CIRCUIT Power dissipation of the circuits is equal to V I, where V is the supply voltage and I is the current flowing through the constant current source. Therefore, the power dissipation of the circuits can be saved by reducing either V or I. Reducing I would results in the increase of delay time and the decrease of the logic swings. Therefore, reducing the supply voltage is an effective method to lower the power consumption of the circuits. HPICE simulations have been carried out for the circuits by varying the source voltage from.v to.6v. Fig. 9 shows the energy dissipations of XOR2 based on and conventional CMO circuits at different supply voltages. From the curves, we can see that the energy per operation cycle dissipated in the XOR2 cell is lowest among the three circuits. Compared with the XOR2 used in Nangate and NCU VI. 2-IT MULTIPLIER Cell-based design flow has been widely used for digital chip designs with commercial ED tools. In order to realize a low-power chips, standard cell libraries should be constructed. The design of the standard cells could be carried for cells. The GD database can be generated by using the stream out function of IC54. Then, the auto place and route (P&R) library is created using this GD database. The synthesis library is generated by using the liberty NCX and HPICE. fter the layout design, the abstract view should be created in library Exchange Format (LEF) for standard cells. The generated abstracts are based on physical layout and logical data, process technology information. It is used in place of full layouts to improve the performance of place-and-route tools, such as Cadence Encounter. The LEF (Library Exchange Format) tech file can be read by the place-and-route tools. Therefore, LEF tech files should be generated for standard cells. To perform characterization, Liberty NCX should be used to run circuit simulations for the library cells to determine the cell behavior. The library can then be used for timing, power, and noise analysis with various tools such as DesignCompile and PrimeTime. For a characterization task, the template file must specify the PICE model file name, the PICE netlist directory, and the PICE simulator executable. The input and output library names should be also specified. fter the characterization, we can get a library in the liberty format (.lib) that can be used for timing and power analysis with various tools such as Design Compile. We can use the Library Compile tool from ynopsys capture this liberty (.lib) file and translates them into ynopsys internal database (.db) format for synthesis.

6 34 JOURNL OF COMPUTER, VOL. 8, NO., JNUR 23 In order to estimate effectiveness of the proposed cells, the 2-bit multiplier is verified by using the cells. The structure of the 2-bit multiplier is show in Fig.. Fig. and Fig. 2 show the schematic of the 2-bit multiplier. The layout of the 2-bit multiplier using cells is shown in Fig. 3. HPICE pre-layout and post-layout simulations are carried out for the 2-bit multiplier. Full parasitic extraction is done for post-layout simulations. The prelayout and post-layout simulated waveforms are shown in Fig. 4. From Fig. 4, the 2-bit multiplier based on the cells has the correct logic function. Figure 2. The schematic of the 2-bit multiplier with the bias circuit. V P b 2 2b 3b 3 b V b b b b V N Figure. The structure of the 2-bit multiplier. Figure 3. The layout of the 2-bit multiplier using the proposed cells. Pre layout Post layout Figure. The schematic of the 2-bit multiplier. Voltage (V) n n Time (s) 5n 2n Figure 4. The simulation waveforms of the 2-bit multiplier. 3 2

7 JOURNL OF COMPUTER, VOL. 8, NO., JNUR VII. CONCLUION is usually used for high-speed applications. The design methods of the high-speed low-power MO have been addressed in this paper. The layout implementations of basic gates are also presented at a NCU FreePDK 45nm technology. Full-custom layouts are drawn, and full parasitic extraction is done. The post-layout simulations are carried out. The results show that the basic gates can save more energy and have better performance than traditional CMO implementations used in Nangate and NCU FreePDK45 libraries at GHz or higher operation frequencies. In order to show energy efficiency and performance of the circuits in low-voltage applications, nearthreshold computing for circuits is investigated by scaling down the supply voltage from.v to.6v. The post-layout simulations show that the power consumption of circuits that operate on nearthreshold regions can be reduced without performance degrading. CKNOWLEDGMENT Project is supported by the Key Program of National Natural cience of China (No. 63), National Natural cience Foundation of China (No. 6749), cientific Research Fund of Zhejiang Provincial Education Department (No. Z298632), and Ningbo Natural cience Foundation (No. 262). REFERENCE [] M. amashina, H. amada, n MO current mode logic () circuit for low-power sub-ghz processor, IEICE Transactions on Electronics, vol. E75-C, no. 3, pp.8 87, 992. [2]. Tanabe,.8 m CMO -Gb/s multiplexer/demultiplexer ICs using current mode logic with tolerance to threshold voltage fluctuation, IEEE Journal of olid tate Circuits, vol. 36, no.6, pp , 2. [3] D. omasekhar and K. Roy. LVDCL: a high fan-in, high performance, low-voltage differential current switch logic family, IEEE Transactions on Very Large cale Integration (VLI) ystems, vol. 6, no. 4, pp , Dec [4] P. Heydari, Design and analysis of low-voltage currentmode logic buffers, In Proceedings of International ymposium on Quality Electronic Design, pp , 23. [5] G. Caruso,. Macchiarella, design methodology for low-power ring oscillators, 27 European Conference on Circuit Theory and Design, pp , 27. [6] M. H. nis, M. I. Elmasry, Power reduction via an MTCMO implementation of MO current mode logic, IEEE International IC/OC Conference, pp.93 97, 22. [7] H. Hassan, M. nisa and M. Elmasrya, Low-power multithreshold analysis, design, and variability, Microelectronics Journal, vol. 37, no., pp. 97-4, 26. [8] J. M. Musicer, J. Rabaey, MO current mode logic for low power low noise CORDIC computation in mixedsignal environments, In Proceedings of International ymposium on Low Power Electron, pp.2 7, 2. [9] D. omasekhar and K. Roy, Differential current switch logic: a low power DCV logic family, IEEE Journal of olid-tate Circuits, vol.3, no. 7, pp.98 99, July 996. [] O. M. bdulkarim and M. hams, symmetric MO current-mode logic universal gate for high speed applications, In Proceedings of the 7th CM Great Lakes ymposium on VLI, pp , 27. [] M. lioto, G. Palumbo, Design strategies for source coupled logic gates, IEEE Transactions on Circuits and ystems I: Fundamental Theory and pplications, vol. 5, no. 5, pp , 23. [2] H. Hassan, M. nis, and M. Elmasry, MO current mode circuits: analysis, design, and variability, IEEE Transactions on Very Large cale Integration (VLI) ystems, vol. 3, no. 8, pp , 25. [3] O. Musa, M. hams, n efficient delay model for MO current-mode logic automated design and optimization, IEEE Transactions on Circuits and ystems I: Regular Papers, vol. 57, no. 8, pp , 2. Jianping Hu was born in 96. He received the.. degree in Electrical and Electronic Engineering from Dalian Maritime University, Dalian, China, in 982. He received the M.. degree in IC Design from University of Electronic cience and Technology of China, Chengdu, China, in 988. He has been a teacher at Ningbo University ince 988. He is currently a professor in Faculty of Information cience & Technology at Ningbo University, Ningbo City, China. His current research interests focus on low-power digital nanometer circuits and analog integrated circuits. Prof. Hu got Ningbo Progress Prize in cience and Technology in 29. Haiyan Ni was born in 977. He received the.. degree in Electronic cience and Technology Engineering from Ningbo University, Ningbo, China, in 2. He is currently a M.c. student in Circuit & ystem at Ningbo University, Ningbo, China. His current research interests focus on lowpower digital integrated circuits and IC design. inshui Xia was born in 963. He received the.. degree in physics from Hangzhou University, Hangzhou, China, in 984. He received the M.. degree in semiconductor physics from Hangzhou University, Hangzhou, China, in 99. He received his doctoral degree from Napier University, Edinburgh, United Kingdom, in 23. He is currently a professor in Faculty of Information cience & Technology at Ningbo University, Ningbo City, China. His current research interests focus on ED design tools and logic synthesis. Prof. Xia got Zhejiang Progress Prize in cience and Technology in 2.

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits Research Journal of Applied Sciences, Engineering and Technology 5(10): 2991-2996, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: September 16, 2012 Accepted:

More information

A Study on Super Threshold FinFET Current Mode Logic Circuits

A Study on Super Threshold FinFET Current Mode Logic Circuits XUQING ZHNG et al: STUDY ON SUPER THRESHOLD FINFET CURRENT MODE LOGIC CIRCUITS Study on Super Threshold FinFET Current Mode Logic rcuits Xuqiang ZHNG, Jianping HU *, Xia ZHNG Faculty of Information Science

More information

The Layout Implementations of High-Speed Low-Power Sequential Logic Cells Based on MOS Current-Mode Logic

The Layout Implementations of High-Speed Low-Power Sequential Logic Cells Based on MOS Current-Mode Logic The Layout mplementations of High-Speed Low-Power Sequential Logic Cells Based on MOS Current-Mode Logic 1 Ni Haiyan, 2 Li Zhenli *1,Corresponding Author Ningbo University, nbuhjp@yahoo.cn 2 Ningbo University,

More information

Open Access A Power-Gating Scheme for MCML Circuits with Separable-Sizing Sleep Transistors

Open Access A Power-Gating Scheme for MCML Circuits with Separable-Sizing Sleep Transistors Send Orders for Reprints to reprints@benthamscience.ae 306 The Open Electrical & Electronic Engineering Journal, 2014, 8, 306-315 Open Access A Power-Gating Scheme for MCML Circuits with Separable-Sizing

More information

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS Neeta Pandey 1, Kirti Gupta 2, Stuti Gupta 1, Suman Kumari 1 1 Dept. of Electronics and Communication, Delhi Technological University, New Delhi (India) 2

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

Improved 32-bit Conditional Sum Adder for Low-Power High-Speed Applications

Improved 32-bit Conditional Sum Adder for Low-Power High-Speed Applications JOURNAL OF INFORMATION CIENCE AND ENGINEERING 22, 975-989 (26) hort Paper Improved 32-bit Conditional um Adder for Low-Power High-peed Applications KUO-HING CHENG AND HUN-WEN CHENG + Department of Electrical

More information

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY

POWER DELAY PRODUCT AND AREA REDUCTION OF FULL ADDERS USING SYSTEMATIC CELL DESIGN METHODOLOGY This work by IJARBEST is licensed under Creative Commons Attribution 4.0 International License. Available at https://www.ijarbest.com ISSN (ONLINE): 2395-695X POWER DELAY PRODUCT AND AREA REDUCTION OF

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Ratioed Logic Introduction Digital IC EE141 2 Ratioed Logic design Basic concept Resistive load Depletion

More information

FTL Based Carry Look ahead Adder Design Using Floating Gates

FTL Based Carry Look ahead Adder Design Using Floating Gates 0 International onference on ircuits, System and Simulation IPSIT vol.7 (0) (0) IASIT Press, Singapore FTL Based arry Look ahead Adder Design Using Floating Gates P.H.S.T.Murthy, K.haitanya, Malleswara

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY

PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY International Journal of Microelectronics Engineering (IJME), Vol. 1, No.1, 215 PERFORMANCE ANALYSIS OF LOW POWER FULL ADDER CELLS USING 45NM CMOS TECHNOLOGY K.Dhanunjaya 1, Dr.MN.Giri Prasad 2, Dr.K.Padmaraju

More information

Implementation of Low Power High Speed Full Adder Using GDI Mux

Implementation of Low Power High Speed Full Adder Using GDI Mux Implementation of Low Power High Speed Full Adder Using GDI Mux Thanuja Kummuru M.Tech Student Department of ECE Audisankara College of Engineering and Technology. Abstract The binary adder is the critical

More information

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Ch. Mohammad Arif 1, J. Syamuel John 2 M. Tech student, Department of Electronics Engineering, VR Siddhartha Engineering College,

More information

Domino CMOS Implementation of Power Optimized and High Performance CLA adder

Domino CMOS Implementation of Power Optimized and High Performance CLA adder Domino CMOS Implementation of Power Optimized and High Performance CLA adder Kistipati Karthik Reddy 1, Jeeru Dinesh Reddy 2 1 PG Student, BMS College of Engineering, Bull temple Road, Bengaluru, India

More information

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer G.Bramhini M.Tech (VLSI), Vidya Jyothi Institute of Technology. G.Ravi Kumar, M.Tech Assistant Professor, Vidya Jyothi Institute of

More information

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits Noise in Digital Integrated Circuits Lecture 4 The CMOS Inverter i(t) v(t) V DD Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail:

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

Combinational Logic Gates in CMOS

Combinational Logic Gates in CMOS Combinational Logic Gates in CMOS References: dapted from: Digital Integrated Circuits: Design Perspective, J. Rabaey UC Principles of CMOS VLSI Design: Systems Perspective, 2nd Ed., N. H. E. Weste and

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Electronics Basic CMOS digital circuits

Electronics Basic CMOS digital circuits Electronics Basic CMOS digital circuits Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED October 21, 2014 1 / 30 Introduction The topics covered today: The inverter: the simplest

More information

Technology, Jabalpur, India 1 2

Technology, Jabalpur, India 1 2 1181 LAYOUT DESIGNING AND OPTIMIZATION TECHNIQUES USED FOR DIFFERENT FULL ADDER TOPOLOGIES ARPAN SINGH RAJPUT 1, RAJESH PARASHAR 2 1 M.Tech. Scholar, 2 Assistant professor, Department of Electronics and

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 COMPARATIVE

More information

A High Speed Low Power Adder in Multi Output Domino Logic

A High Speed Low Power Adder in Multi Output Domino Logic Journal From the SelectedWorks of Kirat Pal Singh Winter November 28, 2014 High Speed Low Power dder in Multi Output Domino Logic Neeraj Jain, NIIST, hopal, India Puran Gour, NIIST, hopal, India rahmi

More information

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator 1 G. Rajesh, 2 G. Guru Prakash, 3 M.Yachendra, 4 O.Venka babu, 5 Mr. G. Kiran Kumar 1,2,3,4 Final year, B. Tech, Department

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR B. Sathiyabama 1, Research Scholar, Sathyabama University, Chennai, India, mathumithasurya@gmail.com Abstract Dr. S. Malarkkan 2, Principal,

More information

Implementation of Carry Select Adder using CMOS Full Adder

Implementation of Carry Select Adder using CMOS Full Adder Implementation of Carry Select Adder using CMOS Full Adder Smitashree.Mohapatra Assistant professor,ece department MVSR Engineering College Nadergul,Hyderabad-510501 R. VaibhavKumar PG Scholar, ECE department(es&vlsid)

More information

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design International Conference on Multidisciplinary Research & Practice P a g e 625 Comparison of High Speed & Low Power Techniques & in Full Adder Design Shikha Sharma 1, ECE, Geetanjali Institute of Technical

More information

Design and Analysis of CMOS based Low Power Carry Select Full Adder

Design and Analysis of CMOS based Low Power Carry Select Full Adder Design and Analysis of CMOS based Low Power Carry Select Full Adder Mayank Sharma 1, Himanshu Prakash Rajput 2 1 Department of Electronics & Communication Engineering Hindustan College of Science & Technology,

More information

EE241 - Spring 2006 Advanced Digital Integrated Circuits. Notes. Lecture 7: Logic Families for Performance

EE241 - Spring 2006 Advanced Digital Integrated Circuits. Notes. Lecture 7: Logic Families for Performance EE241 - Spring 2006 dvanced Digital Integrated Circuits Lecture 7: Logic Families for Performance Notes Hw 1 due tomorrow Feedback on projects will be sent out by the end of the weekend Some thoughts on

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates

A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates A Low-Power 12 Transistor Full Adder Design using 3 Transistor XOR Gates Anil Kumar 1 Kuldeep Singh 2 Student Assistant Professor Department of Electronics and Communication Engineering Guru Jambheshwar

More information

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN Mr. Sunil Jadhav 1, Prof. Sachin Borse 2 1 Student (M.E. Digital Signal Processing), Late G. N. Sapkal College of Engineering, Nashik,jsunile@gmail.com 2 Professor

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

VLSI Logic Structures

VLSI Logic Structures VLSI Logic Structures Ratioed Logic Pass-Transistor Logic Dynamic CMOS Domino Logic Zipper CMOS Spring 25 John. Chandy inary Multiplication + x Multiplicand Multiplier Partial products Result Spring 25

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

High Performance Low-Power Signed Multiplier

High Performance Low-Power Signed Multiplier High Performance Low-Power Signed Multiplier Amir R. Attarha Mehrdad Nourani VLSI Circuits & Systems Laboratory Department of Electrical and Computer Engineering University of Tehran, IRAN Email: attarha@khorshid.ece.ut.ac.ir

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL)

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) International Journal of Electronics Engineering, (1), 010, pp. 19-3 Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) Ashutosh Nandi 1, Gaurav Saini, Amit Kumar Jaiswal

More information

Comparison of Multiplier Design with Various Full Adders

Comparison of Multiplier Design with Various Full Adders Comparison of Multiplier Design with Various Full s Aruna Devi S 1, Akshaya V 2, Elamathi K 3 1,2,3Assistant Professor, Dept. of Electronics and Communication Engineering, College, Tamil Nadu, India ---------------------------------------------------------------------***----------------------------------------------------------------------

More information

nd International Conference on VLSI Design

nd International Conference on VLSI Design 29 22nd International Conference on VLI Design Design of a Low Power, Variable-Resolution Flash ADC reehari Veeramachanen, A. Mahesh Kumar, Venkat Tummala*,M.B. rinivas Centre for VLI and Embedded ystem

More information

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science

MASSACHUSETTS INSTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer Science MCHUETT INTITUTE OF TECHNOLOGY Department of Electrical Engineering and Computer cience 6.374: nalysis and Design of Digital Integrated Circuits Problem et # 4 s Fall 2003 Issued: 10/31/03 Problem 1: MTCMO

More information

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 77-81 International Research Publication House http://www.irphouse.com Noise Tolerance Dynamic CMOS Logic

More information

A gate sizing and transistor fingering strategy for

A gate sizing and transistor fingering strategy for LETTER IEICE Electronics Express, Vol.9, No.19, 1550 1555 A gate sizing and transistor fingering strategy for subthreshold CMOS circuits Morteza Nabavi a) and Maitham Shams b) Department of Electronics,

More information

Comparison of Leakage Power Reduction Techniques in 65nm Technologies

Comparison of Leakage Power Reduction Techniques in 65nm Technologies Comparison of Leakage Power Reduction Techniques in Technologies Vikas inghai aima Ayyub Paresh Rawat ABTRACT The rapid progress in semiconductor technology have led the feature sizes of transistor to

More information

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION

A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION A NOVEL 4-Bit ARITHMETIC LOGIC UNIT DESIGN FOR POWER AND AREA OPTIMIZATION Mr. Snehal Kumbhalkar 1, Mr. Sanjay Tembhurne 2 Department of Electronics and Communication Engineering GHRAET, Nagpur, Maharashtra,

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

Performance Comparison of Pass Transistor and CMOS Logic Configuration based De-Multiplexers

Performance Comparison of Pass Transistor and CMOS Logic Configuration based De-Multiplexers Performance Comparison of Pass Transistor and CMO Logic Configuration based De-Multiplexers Arun Pratap ingh Rathod, Praveen Lakhera, A. K. Baliga, Poornima Mittal and Brijesh Kumar Department of Electronics

More information

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES PSowmya #1, Pia Sarah George #2, Samyuktha T #3, Nikita Grover #4, Mrs Manurathi *1 # BTech,Electronics and Communication,Karunya

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

A Modified Structure for High-Speed and Low-Overshoot Comparator-Based Switched-Capacitor Integrator

A Modified Structure for High-Speed and Low-Overshoot Comparator-Based Switched-Capacitor Integrator A Modified tructure for High-peed and Low-Overshoot Comparator-Based witched-capacitor Integrator Ali Roozbehani*, eyyed Hossein ishgar**, and Omid Hashemipour*** * VLI Lab, hahid Beheshti University,

More information

Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell

Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell International Journal of Electronics and Computer Science Engineering 333 Available Online at www.ijecse.org ISSN: 2277-1956 Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell Arun

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

Design & Simulation of Half Adder Circuit Using AVL technique based on CMOS Technology

Design & Simulation of Half Adder Circuit Using AVL technique based on CMOS Technology Design & Simulation of Half Adder Circuit Using AVL technique based on CMOS Technology Mateshwar Singh1, Surya Deo Choudhary 2, Ashutosh kr.singh3 1M.Tech Student, Dept. of Electronics & Communication,

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS

CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS 87 CHAPTER 6 GDI BASED LOW POWER FULL ADDER CELL FOR DSP DATA PATH BLOCKS 6.1 INTRODUCTION In this approach, the four types of full adders conventional, 16T, 14T and 10T have been analyzed in terms of

More information

Power And Area Optimization of Pulse Latch Shift Register

Power And Area Optimization of Pulse Latch Shift Register International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 12, Issue 6 (June 2016), PP.41-45 Power And Area Optimization of Pulse Latch Shift

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE Journal of Engineering Science and Technology Vol. 12, No. 12 (2017) 3344-3357 School of Engineering, Taylor s University DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE

More information

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches Indian Journal of Science and Technology, Vol 9(17), DOI: 10.17485/ijst/2016/v9i17/93111, May 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Study and Analysis of CMOS Carry Look Ahead Adder with

More information

A Novel Hybrid Full Adder using 13 Transistors

A Novel Hybrid Full Adder using 13 Transistors A Novel Hybrid Full Adder using 13 Transistors Lee Shing Jie and Siti Hawa binti Ruslan Department of Electrical and Electronic Engineering, Faculty of Electric & Electronic Engineering Universiti Tun

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Comparison of adiabatic and Conventional CMOS

Comparison of adiabatic and Conventional CMOS Comparison of adiabatic and Conventional CMOS Gurpreet Kaur M.Tech Scholar(ECE), Narinder Sharma HOD (EEE) Amritsar college of Engineering and Technology, Amritsar Abstract:-The Power dissipation in conventional

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

Design Analysis of 1-bit Comparator using 45nm Technology

Design Analysis of 1-bit Comparator using 45nm Technology Design Analysis of 1-bit Comparator using 45nm Technology Pardeep Sharma 1, Rajesh Mehra 2 1,2 Department of Electronics and Communication Engineering, National Institute for Technical Teachers Training

More information

Two New Low Power High Performance Full Adders with Minimum Gates

Two New Low Power High Performance Full Adders with Minimum Gates Two New Low Power High Performance Full Adders with Minimum Gates M.Hosseinghadiry, H. Mohammadi, M.Nadisenejani Abstract with increasing circuits complexity and demand to use portable devices, power consumption

More information

Two New Low Power High Performance Full Adders with Minimum Gates

Two New Low Power High Performance Full Adders with Minimum Gates Two New Low Power High Performance Full Adders with Minimum Gates M.Hosseinghadiry, H. Mohammadi, M.Nadisenejani Abstract with increasing circuits complexity and demand to use portable devices, power consumption

More information

the cascading of two stages in CMOS domino logic[7,8]. The operating period of a cell when its input clock and output are low is called the precharge

the cascading of two stages in CMOS domino logic[7,8]. The operating period of a cell when its input clock and output are low is called the precharge 1.5v,.18u Area Efficient 32 Bit Adder using 4T XOR and Modified Manchester Carry Chain Ajith Ravindran FACTS ELCi Electronics and Communication Engineering Saintgits College of Engineering, Kottayam Kerala,

More information

Sub-threshold Design using SCL for Low Power Applications

Sub-threshold Design using SCL for Low Power Applications Sub-threshold Design using SCL for Low Power Applications 1 Rajiv Gopal, 2 M Murali Krishna Student, Department of ECE, Asst. Professor, Department of ECE, GITAM Institute of Technology, GITAM University,

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

Low power high speed hybrid CMOS Full Adder By using sub-micron technology

Low power high speed hybrid CMOS Full Adder By using sub-micron technology Low power high speed hybrid CMOS Full Adder By using sub-micron technology Ch.Naveen Kumar 1 Assistant professor,ece department GURUNANAK institutions technical campus Hyderabad-501506 A.V. Rameshwar Rao

More information

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier LETTER IEICE Electronics Express, Vol.11, No.6, 1 7 Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier S. Vijayakumar 1a) and Reeba Korah 2b) 1

More information

Static NP Domino Carry gates for Ultra Low Voltage and High Speed Full Adders

Static NP Domino Carry gates for Ultra Low Voltage and High Speed Full Adders INTERNTIONL JOURNL OF CIRCUITS, SYSTEMS ND SIGNL PROCESSING Static NP Domino Carry gates for Ultra Low Voltage and High Speed Full dders Sohail Musa Mahmood and Yngvar erg bstract In this paper we present

More information

A Generic Standard Cell Design Methodology for Differential Circuit Styles

A Generic Standard Cell Design Methodology for Differential Circuit Styles A Generic Standard Cell Design Methodology for Differential Circuit Styles Stéphane Badel, Erdem Güleyüpoğlu, Özgür İnaç, Anna Peña Martinez, Paolo Vietti, Frank K. Gürkaynak and Yusuf Leblebici Microelectronic

More information

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

A Novel Approach for High Speed and Low Power 4-Bit Multiplier IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 3 (Nov. - Dec. 2012), PP 13-26 A Novel Approach for High Speed and Low Power 4-Bit Multiplier

More information

Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions

Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions Performance of a Resistance-To-Voltage Read Circuit for Sensing Magnetic Tunnel Junctions Michael J. Hall Viktor Gruev Roger D. Chamberlain Michael J. Hall, Viktor Gruev, and Roger D. Chamberlain, Performance

More information

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System

IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System IC Layout Design of 4-bit Universal Shift Register using Electric VLSI Design System 1 Raj Kumar Mistri, 2 Rahul Ranjan, 1,2 Assistant Professor, RTC Institute of Technology, Anandi, Ranchi, Jharkhand,

More information

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs International Academic Institute for Science and Technology International Academic Journal of Science and Engineering Vol. 2, No., 201, pp. 29-. ISSN 2-9 International Academic Journal of Science and Engineering

More information

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 Asst. Professsor, Anurag group of institutions 2,3,4 UG scholar,

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

A New High Speed - Low Power 12 Transistor Full Adder Design with GDI Technique

A New High Speed - Low Power 12 Transistor Full Adder Design with GDI Technique International Journal of Scientific & Engineering Research Volume 3, Issue 7, July-2012 1 A New High Speed - Low Power 12 Transistor Full Design with GDI Technique Shahid Jaman, Nahian Chowdhury, Aasim

More information

UNIT-III GATE LEVEL DESIGN

UNIT-III GATE LEVEL DESIGN UNIT-III GATE LEVEL DESIGN LOGIC GATES AND OTHER COMPLEX GATES: Invert(nmos, cmos, Bicmos) NAND Gate(nmos, cmos, Bicmos) NOR Gate(nmos, cmos, Bicmos) The module (integrated circuit) is implemented in terms

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2190 Biquad Infinite Impulse Response Filter Using High Efficiency Charge Recovery Logic K.Surya 1, K.Chinnusamy

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Design of Two High Performance 1-Bit CMOS Full Adder Cells

Design of Two High Performance 1-Bit CMOS Full Adder Cells Int. J. Com. Dig. Sys. 2, No., 47-52 (23) 47 International Journal of Computing and Digital Systems -- An International Journal @ 23 UOB CSP, University of Bahrain Design of Two High Performance -Bit CMOS

More information

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology

A New network multiplier using modified high order encoder and optimized hybrid adder in CMOS technology Inf. Sci. Lett. 2, No. 3, 159-164 (2013) 159 Information Sciences Letters An International Journal http://dx.doi.org/10.12785/isl/020305 A New network multiplier using modified high order encoder and optimized

More information

A Efficient Low-Power High Speed Digital Circuit Design by using 1-bit GDI Full Adder Circuit

A Efficient Low-Power High Speed Digital Circuit Design by using 1-bit GDI Full Adder Circuit Efficient Low-Power High Speed Digital Circuit Design by using 1-bit GDI Full dder Circuit Rohit Tripati #1, Paresh Rawat # PG Student [VLSI], Dept. of ECE, Truba College of Science and Technology hopal

More information

A High Speed CMOS Current Comparator in 90 nm CMOS Process Technology

A High Speed CMOS Current Comparator in 90 nm CMOS Process Technology A High Speed CMOS Current Comparator in 90 nm CMOS Process Technology Adyasha Rath 1, Sushanta K. Mandal 2, Subhrajyoti Das 3, Sweta Padma Dash 4 1,3,4 M.Tech Student, School of Electronics Engineering,

More information