A Study on Super Threshold FinFET Current Mode Logic Circuits

Size: px
Start display at page:

Download "A Study on Super Threshold FinFET Current Mode Logic Circuits"

Transcription

1 XUQING ZHNG et al: STUDY ON SUPER THRESHOLD FINFET CURRENT MODE LOGIC CIRCUITS Study on Super Threshold FinFET Current Mode Logic rcuits Xuqiang ZHNG, Jianping HU *, Xia ZHNG Faculty of Information Science and Technology, Ningbo University, Ningbo ty, China bstract The energy dissipation of a circuit is concerned problem for battery-operated mobile platforms. The super-threshold computing scheme based on FinFET CML (Current Mode Logic) circuits is addressed in this paper to attain low power dissipations. In near-threshold circuits, the power supply voltage is slightly above the threshold voltage of MOS devices, so that their PDN transistors operate on medium inversion regions. In the super-threshold circuit, much larger supply voltage is used, so that the larger biasing current can been used, and thus the super-threshold FinFET CML circuits can realize faster operation than near-threshold one. The basic logic gates such as ND/NND and XOR/XNOR, and 1-bit full-adder based on FinFET CML are used to verify power efficiencies. ll circuits are simulated with HSPICE at a PTM (Predictive Technology Model) 32nm SIM- CMG FinFET technology. The results show that the power consumption of FinFET CML circuits can be reduced by scaling the supply voltage into super-threshold regions without performance degrading. Keywords- Super-threshold computing, FinFET current mode logic, energy-efficient design I. INTRODUCTION The MOS current mode logic (MCML) circuits have better performance at operating speed compared with conventional complementary CMOS logic circuits [1], since smaller swing can be used. The power dissipation of the MCML circuit with given voltage and biasing current is a constant, which is independent of its operating frequency. This means that the MCML circuits have large static power dissipations because of constant currents [2]. With the increasing demand for battery-operating electronic products that require low energy dissipations, power-efficient designs have become more and more important in IC chips [3-6]. Recently, the low power designs of MCML have obtained attentions [7-9]. low-power design methodology for the MCML circuits can be carried out by optimizing design parameters [7, 8]. Scaling supply voltage is also a good method to obtain low power consumption, since the power dissipation depends linearly on supply voltage [8-12]. nis and Elmasry presented that in pull-down network (PDN), the multi-threshold NMOS transistors can be used to minimize the power source voltage to ensure PDN transistors operating at saturation region, and thus reduce the power dissipations of the MCML circuits [9]. General, NMOS transistors in the PDN of the MCML circuits operate on saturation regions, and thus the output swing of the MCML circuits must be set as a smaller voltage than the threshold voltage of NMOS transistors, resulting in that a large power source voltage must be used in the MCML circuits [10-14]. In this realization scheme, power dissipation can not effectively reduced by lowering the source voltage of the MCML circuits. In near-threshold MCML circuits, the output swing is usually selected as larger than the threshold voltage of NMOS devices, and thus the NMOS transistors in the PDN operate on linear regions, so that the lower power source voltages can be used to reduce the power dissipations. Moreover, near-threshold circuits use usually small biasing current to minimum the power source voltage, resulting in a large increasing delay. In CMOS processes, SCE (short-channel effects) and gate-dielectric leakage have caused the increasing leakage, which has been a main barrier against further scaling [3]. Compared bulk CMOS devices, Fin-type Field-Effect Transistors (FinFET) as a 3D device has lower leakage current with better turn-on performance, which has been a proper alternative for CMOS devices in further IC scaling [15,16]. Compared with bulk MOS transistors, FinFET transistors operating on super-threshold regions (medium strong inversion regions) provide stronger turn-on current. Therefore, it can be expected that FinFET CML circuits can use a larger biasing current, and thus have more favorable performance than MCML ones. In this work, a super-threshold computing scheme for FinFET Current Mode Logic (FinFET CML) circuits is addressed. In near-threshold circuits, the power supply voltage is slightly above the threshold voltage of MOS devices, so that their PDN transistors operate on medium inversion regions. In the super-threshold circuit, much larger supply voltage is used, so that the larger biasing current can been used, and thus the super-threshold FinFET CML circuits can realize faster operation than near-threshold one. The basic logic gates and 1-bit full-adder based on FinFET CML are used to verify the power and delay efficiencies. ll circuits are simulated with HSPICE at a PTM (Predictive Technology Model) 32nm SIM-CMG FinFET technology [17]. II. FINFET CML CIRCUITS The basic FinFET CML inverter/buffer and its biasing circuit are shown in Fig. 1. The FinFET CML inverter is composed of three main parts. The p-type FinFETs ( and ) operating at linear region act as load resistors. The full DOI /IJSSST.a ISSN: x online, print

2 XUQING ZHNG et al: STUDY ON SUPER THRESHOLD FINFET CURRENT MODE LOGIC CIRCUITS differential pull down network consisting of N-type FinFETs (N1 and ) is used for logic fuction. The N-type transistor provides the biasing current, which is mirrored from the current source in the bias circuit. In the FinFET CML, the two signals V rfp is generated from the bias circuit to ensure the proper operating for output voltage swings, while and V rfn provides the constant bias current. This construction decide that V OH = and V OL = - I R D, where R D is the P-type transistor load resistance. The logic swing V = V OH - V OL = I R D. N3 N4 b N1 N5 b + - ias rcuit IN N1 INb b (a) ND2/NND2 N3 N4 N5 Figure 1. FinFET CML inverter/buffer and its biasing circuit. b N1 The pull-down network in the general FinFET CML circuits operates in saturated region. To achieve this goal, the output swing must be controlled below threshold voltage. With the scaling-down of technology dimension, the threshold voltage of transistors is reduced. The typical threshold voltage of transistors is between 0.2 and 0.3. In order to make the pull-down network operate in saturated region, the output swing must be smaller than this threshold voltage, which may lead to bad performance on noise margin. In order to solve this problem, FinFET transistors of pull-down network in FinFET CML circuits operate in linear region. In other words, its output swing is larger than threshold voltage. ll FinFET CML circuits mentioned in this work are worked with an output swing of 0.3V. FinFET CML is a type of differential logic with differential input logic tree. Therefore, the design of the FinFET CML PDN is similar to other differential logic styles such as DCVSL and DSL. The complex logic functions can be realized by replacing N1 and with N- type FinFET logic trees. When we design a FinFET CML circuit, two parts can be divided. One part is ias rcuit, and the another part is logic circuit. The FinFET CML basic gate cells such as ND2/NND2, OR2/NOR2, XOR2/XNOR2, and ND3/NND3 are shown in Fig. 2. The power consumption of FinFET CML circuits can be expressed as (b) OR2/NOR2 b b (b) XOR2/XNOR2 C Cb where I is source current. P V (1) DD I b b (d) ND3/NND3 Figure 2. asic gate cells based on FinFET CML. DOI /IJSSST.a ISSN: x online, print

3 XUQING ZHNG et al: STUDY ON SUPER THRESHOLD FINFET CURRENT MODE LOGIC CIRCUITS as Easily, energy consumption per cycle can be expressed VDD I E PT VDD I T (2) f where T is operation period and f is frequency. s is shown in (2), a direct solution for reducing energy consumption is to scale down supply voltage, since the total energy is reduced linearly as supply voltage scales down. Scaling supply voltage is an attractive approach. However, scaling supply voltage to near-threshold region results in that small biasing current must be used, so that the operating speed of MCML circuits degrades. Compared with bulk MOS transistors, FinFET transistors operating on super-threshold regions (medium strong inversion regions) provide stronger turn-on current. Therefore, it can be expected that FinFET current mode logic (FinFET CML) circuits can use a larger biasing current, and thus have more favorable performance than MCML ones. In the super-threshold circuit, much larger supply voltage is used, so that the larger biasing current can been used, and thus the super-threshold FinFET CML circuits can realize faster operation than near-threshold one. III. ONE-IT FULL DDER SED ON FINFET CML The logic functions of the 1-bit full adder can be expressed as From (5) and (6), the dynamic power consumption of a static logic circuit increases linearly as its frequency. Sb b S b b b Vx b (a) sum Co (3) S Co( ) (4) where,, are input signal, Co is carry output, and S is the sum of,, and. ccording to (3) and (4), the 1-bit adder can be realized by using FinFET CML, as shown in Fig. 3, where Fig. 3(a) and Fig. 3(b) are the sum and carry outputs, respectively. In order to verify the performance of the FinFET CML circuits, a criterion is needed. In this work, conventional static logic was selected as a contrast, and its circuit is shown in Fig. 4. The energy consumption should be considered as a critical factor. The total power consumption of static logic circuits can be expressed as P tot Pdyn Pdp Pstat (5) Where P dyn is dynamic power consumption, P dp is the shortcircuit power consumption because of direct path from source to Ground, and P stat is static power consumption. Generally, P dyn take a large part of the total power consumption, and it can be expressed as Figure 3. 1-bit full adder based on FinFET CML. Co S 2 Pdyn CLVDD f (6) where C L is load capacitance, is source voltage, and f is operational frequency. Figure 4. 1-bit full adder based on static logic. Fig. 5 shows the power consumption of the static full adder in various frequencies with a standard source supply DOI /IJSSST.a ISSN: x online, print

4 XUQING ZHNG et al: STUDY ON SUPER THRESHOLD FINFET CURRENT MODE LOGIC CIRCUITS (1.2V). The simulation was carried out by HSPICE. Power consumption (uw) Frequency (GHz) Figure 5. Power consumption of the 1-bit full adder based on static logic in various frequencies at a 1.2V standard source supply. s it mentioned above, if the pull-down network of FinFET CML operate in saturated region, it may result in bad performance with the scaling-down of technology dimension. Therefore, a scheme is needed to solve this problem. We explored that whether the pull-down network can work in linear region or not. The simulation results for these basic FinFET CML cells and 1-bit full adder show that all the pull-down N-type transistors of the FinFET CML circuits can work in linear region with favorite performance. ll the FinFET CML circuits mentioned below are worked with an output swing of 0.3V. In order to investigate the performances of the FinFET CML circuits, 1-bit full adder based on FinFET CML is stimulated in various frequencies and 1.2V source voltage. The power consumption of the 1-bit full adder is shown in Fig. 6 in various frequencies. Moreover, the power consumptions of the ND2, OR2, and XOR2 based on FinFET CML circuits are all shown in Fig. 6. Power consumption (uw) The power dissipation comparisons of the 1-bit full adders based on FinFET CML and static logic circuits are shown in Fig. 7. The 1-bit FinFET CML full adder, whose pull-down N-type transistors operate on linear region, gains an advantage over static logic when working frequency is larger than 800MHz. This verifies that FinFET CML circuits have a great advantage in high-speed applications. Power consumption (uw) Figure 7. The power dissipation comparisons of 1-bit full adder based on FinFET CML and conventional static circuits at various frequencies and 1.3V source voltage. IV. SUPER-THRESHOLD COMPUTING The power dissipation of the FinFET CML circuits can be effectively reduced by scaling supply voltage, since the power dissipation is reduced linearly as supply voltage scales down. In order to get the most efficient point of the super-threshold FinFET CML circuit, the minimum supply voltage should be estimated. The supply voltage of the FinFET CML circuits has a minimum limit, at which the current source FinFET transistor should operate at velocity saturation region, while the N-type FinFET transistors in the pull-down network (PDN) should be turn-on state. Different from the conventional FinFET CML circuits, the output swing of the proposed FinFET CML circuits presented in this work is larger than the threshold voltage of N-type FinFET transistors. nd the N-type FinFET transistors in the pull-down network of the FinFET CML circuits operate on linear region, so that the source voltages of the FinFET CML circuits can be well reduced. For a twolevel FinFET CML circuit, as shown in Fig. 8, to make sure that the FinFET transistor N1 in the pull-down network operates at linear state, the logic swing of the output of FinFET CML circuits should be taken as V V TH (7) Figure 6. Power consumption of the 1-bit full adder based on FinFET CML at various frequencies and 1.2V source voltage. Form Fig. 6, we know that the power consumption of FinFET CML circuits is nearly independent of frequency. where V TH is the threshold voltage of N-type FinFET transistors. Therefore, according to Fig. 8, the minimum operating power supply voltage of the FinFET CML circuits can been expressed as DOI /IJSSST.a ISSN: x online, print

5 XUQING ZHNG et al: STUDY ON SUPER THRESHOLD FINFET CURRENT MODE LOGIC CIRCUITS, min V1, ds V2, ds Vs, dsat (8) where V 1,ds and V 2,ds are gate-source voltages of the FinFET transistors N1 and when they operate in linear state, respectively, and V s,dsat is the drain-source voltage of the FinFET transistor when it operates at velocity saturation point. operation than near-threshold one. Fig. 9 shows the power consumption of the 1-bit full adder with various supply voltages at 500MHz N Source voltage (V) Figure 8. Minimum operating supply voltage of FinFET CML circuits, where pull-down N-type transistors operates in linear region. The simulations for the FinFET CML circuits have shown that the typical value of V 1,ds and V 2,ds is about 20mV. Therefore, the voltages V 1,ds and V 2,ds can be ignored. In general, the drain-source saturation voltage V s,sat in the biasing current circuits is expressed as V ds, sat 2 I WC OX sat 4EsatWLCOX sat 1 1 I where E sat, C OX, and ν sat are the saturation electric field, oxide capacitance, and saturation velocity, respectively, while W and L are the transistor effective width and length of FinFET transistors, respectively. ccording to (8) and (9), the minimum supply voltage can be estimated. From (8) and (9), the minimum supply voltage of the FinFET CML circuits can be reduced by lowering its bias current. If the FinFET CML circuits operate at a low-speed application, only a small biasing current is required. Therefore, for low-speed applications, a small bias current can be used, and thus the supply voltage of the FinFET CML circuits can be reduced, so that more power saving of the FinFET CML circuits can be obtained, since the power dissipation of the FinFET CML circuits is proportional to its supply voltage and bias current. Compared with bulk MOS transistors, FinFET transistors provide stronger turn-on current. Therefore, it can be expected that FinFET current mode logic (FinFET CML) circuits can use a larger biasing current, and thus have more favorable performance than MCML ones. In the super-threshold circuit, much larger supply voltage is used, so that the larger biasing current can been used, and thus the super-threshold FinFET CML circuits can realize faster (9) Figure 9. The power consumption of 1-bit full adder with various supply voltages at 500MHz. From Fig. 9, scaling down the supply voltage can save energy consumptions effectively. The power consumption of the adder at 0.4V and 0.7V supply voltage is only 15.6% and 50.1% of 1.3V supply voltage, respectively. In order to give a visual contrast between standard FinFET CML and near-threshold and super-threshold FinFET CML, their power consumption with different source voltages in various frequencies are also given, as shown in Fig. 10. The power consumptions reduce dramatically as the source voltage reduces, while the change of frequency nearly has no influence on power dissipation. Figure 10. The power consumption of the FinFET CML 1-bit full adder with various operating frequency from 0.4V to 1.3V supply voltage. V. CONCLUSIONS In this work, a super-threshold computing scheme for DOI /IJSSST.a ISSN: x online, print

6 XUQING ZHNG et al: STUDY ON SUPER THRESHOLD FINFET CURRENT MODE LOGIC CIRCUITS FinFET Current Mode Logic (FinFET CML) circuits has been addressed to attain low power dissipations without performance degrading. General, NMOS transistors in the PDN of the MCML circuits operate on saturation regions, and thus the output swing of the MCML circuits must be set as a smaller voltage than the threshold voltage of NMOS transistors, resulting in that a large power source voltage must be used in the MCML circuits. Compared with bulk MOS transistors, FinFET transistors operating on super-threshold regions (medium strong inversion regions) provide stronger turn-on current. Therefore, FinFET CML circuits can use a larger biasing current, and thus have more favorable performance than MCML ones. In near-threshold circuits, the power supply voltage is slightly above the threshold voltage of MOS devices, so that their PDN transistors operate on medium inversion regions. In the super-threshold circuit, much larger supply voltage is used, so that the larger biasing current can been used, and thus the super-threshold FinFET CML circuits can realize faster operation than near-threshold one. The basic logic gates and 1-bit full-adder based on FinFET CML have been used to verify the power efficiency in super-threshold and near-threshold regions. The results show that the power consumption of FinFET CML circuits can be reduced by scaling the supply voltage into super-threshold regions without performance degrading. CKNOWLEDGMENT This work was supported by the Key Program of National Natural Science of China (No ), National Natural Science Foundation of China (No and No ). REFERENCES [1] M. Yamashina, H. Yamada, n MOS Current Mode Logic (MCML) rcuit for Low-Power Sub-GHz Processors [J], IEICE Transactions on Electronics, vol. E75-C, no. 3, pp , [2]. Tanabe, 0.18 m CMOS 10-Gb/s Multiplexer/Demultiplexer ICs Using Current Mode Logic with Tolerance to Threshold Voltage Fluctuation [J], IEEE Journal of Solid State rcuits, vol. 36, no.6, pp , [3] S. orkar,.. Chien. The Future of Microprocessors [J], Communications of the CM, 54(5), pp , [4] W. Zhang, L. Su, Y. Zhang, L. Li, J. Hu, Low-leakage flip-flops based on dual-threshold and multiple leakage reduction techniques [J], Journal of rcuits, Systems and Computers, vol. 20, no. 1, pp , [5] F. Fallah, M. Pedram, Standby and ctive Leakage Current Control and Minimization in CMOS VLSI rcuits [J], IEICE Trans. on Electronics, vol. 88-C, no. 4, pp , [6] J. Hu, X. Yu, Low Voltage and Low Power Pulse Flip-Flops in Nanometer CMOS Processes [J], Current Nanoscience, vol. 8, no. 1, pp , [7] P. Heydari, Design and analysis of low-voltage current-mode logic buffers [C], International Symposium on Quality Electronic Design, pp , [8] G. Caruso,. Macchiarella, design methodology for low-power MCML ring oscillators [C], European Conference on rcuit Theory and Design, pp , [9] M. H. nis, M. I. Elmasry, Power reduction via an MTCMOS implementation of MOS current mode logic [C], IEEE International SIC/SOC Conference, pp , [10] R. Cao, J. Hu, Near-Threshold Computing and Minimum Supply Voltage of Single-Rail MCML rcuits [J], Journal of Electrical and Computer Engineering, vol. 2014, pp.1-10, [11] M. lioto, G. Palumbo, Design Strategies for Source Coupled Logic Gates [J], IEEE Transactions on rcuits and Systems I: Fundamental Theory and pplications, vol. 50, no. 5, pp , [12] H. Hassan, M. nis, and M. Elmasry, MOS Current Mode circuits: analysis, design, and variability [J], IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 13, no. 8, pp , [13] Y.. Wu and J. P. Hu, Low-voltage MOS current mode logic for low-power and high speed applications [J], Information Technology Journal, vol.10, no. 12, pp , [14] O. Musa, M. Shams, n Efficient Delay Model for MOS Current- Mode Logic utomated Design and Optimization [J], IEEE Transactions on rcuits and Systems I: Regular Papers, vol. 57, no. 8, pp , [15] S.. Tawfik, V. Kursun, Mutual exploration of FinFET technology and circuit design options for implementing compact brute-force latches [C], 1st Int'l Symposium on Quality Electronic Design-sia, 2009, pp [16] S.. Tawfik, V. Kursun. Multi-threshold Voltage FinFET Sequential rcuits [J], IEEE transaction on very large scale integration(vlsi) systems, vol. 19, no. 1, pp , [17] N. Paydavosi, S. Venugopalan, Y. S. Chauhan, J. P. Duarte, S. Jandhyala,. M. Niknejad, C. Hu. SIM - SPICE models enable FinFET and UT IC [J], IEEE cess, vol. 1, pp , DOI /IJSSST.a ISSN: x online, print

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits Research Journal of Applied Sciences, Engineering and Technology 5(10): 2991-2996, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: September 16, 2012 Accepted:

More information

Open Access A Power-Gating Scheme for MCML Circuits with Separable-Sizing Sleep Transistors

Open Access A Power-Gating Scheme for MCML Circuits with Separable-Sizing Sleep Transistors Send Orders for Reprints to reprints@benthamscience.ae 306 The Open Electrical & Electronic Engineering Journal, 2014, 8, 306-315 Open Access A Power-Gating Scheme for MCML Circuits with Separable-Sizing

More information

High-Speed Low-Power MCML Nanometer Circuits with Near-Threshold Computing

High-Speed Low-Power MCML Nanometer Circuits with Near-Threshold Computing JOURNL OF COMPUTER, VOL. 8, NO., JNUR 23 29 High-peed Low-Power Nanometer Circuits with Near-Threshold Computing Jianping Hu, Haiyan Ni, and inshui Xia Faculty of Information cience and Technology, Ningbo

More information

The Layout Implementations of High-Speed Low-Power Sequential Logic Cells Based on MOS Current-Mode Logic

The Layout Implementations of High-Speed Low-Power Sequential Logic Cells Based on MOS Current-Mode Logic The Layout mplementations of High-Speed Low-Power Sequential Logic Cells Based on MOS Current-Mode Logic 1 Ni Haiyan, 2 Li Zhenli *1,Corresponding Author Ningbo University, nbuhjp@yahoo.cn 2 Ningbo University,

More information

Open Access An Investigation of Super-Threshold FinFET Logic Circuits Operating on Medium Strong Inversion Regions

Open Access An Investigation of Super-Threshold FinFET Logic Circuits Operating on Medium Strong Inversion Regions Send Orders for Reprints to reprints@benthamscience.ae 22 The Open Electrical & Electronic Engineering Journal, 2015, 9, 22-32 Open ccess n Investigation of Super-Threshold FinFET Logic ircuits Operating

More information

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS Neeta Pandey 1, Kirti Gupta 2, Stuti Gupta 1, Suman Kumari 1 1 Dept. of Electronics and Communication, Delhi Technological University, New Delhi (India) 2

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application

A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application A Novel Multi-Threshold CMOS Based 64-Bit Adder Design in 45nm CMOS Technology for Low Power Application Rumi Rastogi and Sujata Pandey Amity University Uttar Pradesh, Noida, India Email: rumi.ravi@gmail.com,

More information

VLSI Logic Structures

VLSI Logic Structures VLSI Logic Structures Ratioed Logic Pass-Transistor Logic Dynamic CMOS Domino Logic Zipper CMOS Spring 25 John. Chandy inary Multiplication + x Multiplicand Multiplier Partial products Result Spring 25

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Ratioed Logic Introduction Digital IC EE141 2 Ratioed Logic design Basic concept Resistive load Depletion

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Combinational Logic Gates in CMOS

Combinational Logic Gates in CMOS Combinational Logic Gates in CMOS References: dapted from: Digital Integrated Circuits: Design Perspective, J. Rabaey UC Principles of CMOS VLSI Design: Systems Perspective, 2nd Ed., N. H. E. Weste and

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

Designs of 2P-2P2N Energy Recovery Logic Circuits

Designs of 2P-2P2N Energy Recovery Logic Circuits Research Journal of Applied Sciences, Engeerg and Technology 5(21): 4977-4982, 213 ISSN: 24-7459; e-issn: 24-7467 Maxwell Scientific Organization, 213 Submitted: July 31, 212 Accepted: September 17, 212

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Hendrawan Soeleman, Kaushik Roy, and Bipul Paul Purdue University Department of Electrical and Computer Engineering West Lafayette, IN 797, USA fsoeleman,

More information

Low Power &High Speed Domino XOR Cell

Low Power &High Speed Domino XOR Cell Low Power &High Speed Domino XOR Cell Payal Soni Electronics and Communication Department, FET- Mody University Lakshmangarh, Dist.-Sikar, India E-mail: payal.soni3091@gmail.com Abstract Shiwani Singh

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

Low-Power High-Speed Double Gate 1-bit Full Adder Cell

Low-Power High-Speed Double Gate 1-bit Full Adder Cell INTL JOURNAL OF ELECTRONICS AND TELECOMMUNICATIONS, 2016, VOL. 62, NO. 4, PP. 329-334 Manuscript received October 15, 2016; revised November, 2016. DOI: 10.1515/eletel-2016-0045 Low-Power High-Speed Double

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Gaddam Sushil Raj B.Tech, Vardhaman College of Engineering. ABSTRACT: Arithmetic logic unit (ALU) is an important part of microprocessor. In

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN

ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN ADIABATIC LOGIC FOR LOW POWER DIGITAL DESIGN Mr. Sunil Jadhav 1, Prof. Sachin Borse 2 1 Student (M.E. Digital Signal Processing), Late G. N. Sapkal College of Engineering, Nashik,jsunile@gmail.com 2 Professor

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier LETTER IEICE Electronics Express, Vol.11, No.6, 1 7 Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier S. Vijayakumar 1a) and Reeba Korah 2b) 1

More information

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 5: Basic CMOS Inverter. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 5: Basic CMOS Inverter Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop Indian Journal of Science and Technology, Vol 8(7), 622 628, April 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 DOI: 10.17485/ijst/2015/v8i7/62847 A High Performance Asynchronous Counter using

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique

Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Low Power 32-bit Improved Carry Select Adder based on MTCMOS Technique Ch. Mohammad Arif 1, J. Syamuel John 2 M. Tech student, Department of Electronics Engineering, VR Siddhartha Engineering College,

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer

Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Design of Low power and Area Efficient 8-bit ALU using GDI Full Adder and Multiplexer Mr. Y.Satish Kumar M.tech Student, Siddhartha Institute of Technology & Sciences. Mr. G.Srinivas, M.Tech Associate

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

Analysis of shift register using GDI AND gate and SSASPL using Multi Threshold CMOS technique in 22nm technology

Analysis of shift register using GDI AND gate and SSASPL using Multi Threshold CMOS technique in 22nm technology International Journal of Innovation and Scientific Research ISSN 2351-8014 Vol. 22 No. 2 Apr. 2016, pp. 415-424 2015 Innovative Space of Scientific Research Journals http://www.ijisr.issr-journals.org/

More information

Design and Optimization Low Power Adder using GDI Technique

Design and Optimization Low Power Adder using GDI Technique Design and Optimization Low Power Adder using GDI Technique Dolly Gautam 1, Mahima Singh 2, Dr. S. S. Tomar 3 M.Tech. Students, Department of ECE, MPCT College, Gwalior, Madhya Pradesh, India 1-2 Associate

More information

CML Current mode full adders for 2.5-V power supply

CML Current mode full adders for 2.5-V power supply CML Current full adders for 2.5-V power supply. Kazeminejad, K. Navi and D. Etiemble. LI - U 410 CNS at 490, Université Paris Sud 91405 Orsay Cedex, France bstract We present the basic structure and performance

More information

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design

Comparison of High Speed & Low Power Techniques GDI & McCMOS in Full Adder Design International Conference on Multidisciplinary Research & Practice P a g e 625 Comparison of High Speed & Low Power Techniques & in Full Adder Design Shikha Sharma 1, ECE, Geetanjali Institute of Technical

More information

Performance Optimization of Dynamic and Domino logic Carry Look Ahead Adder using CNTFET in 32nm technology

Performance Optimization of Dynamic and Domino logic Carry Look Ahead Adder using CNTFET in 32nm technology IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 5, Ver. I (Sep - Oct. 2015), PP 30-35 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Performance Optimization of Dynamic

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 COMPARATIVE

More information

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS Mrs. K. Srilakshmi 1, Mrs. Y. Syamala 2 and A. Suvir Vikram 3 1 Department of Electronics and Communication

More information

Leakage Diminution of Adder through Novel Ultra Power Gating Technique

Leakage Diminution of Adder through Novel Ultra Power Gating Technique Leakage Diminution of Adder through Novel Ultra Power Gating Technique Aushi Marwah; Prof. Meenakshi Mishra ShriRam College of Engineering & Management, Banmore Abstract: Technology scaling helps us to

More information

Design of XOR gates in VLSI implementation

Design of XOR gates in VLSI implementation Design of XOR gates in VLSI implementation Nabihah hmad, Rezaul Hasan School of Engineering and dvanced Technology Massey University, uckland N.hmad@massey.ac.nz, hasanmic@massey.ac.nz bstract: Exclusive

More information

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs

Design of New Full Swing Low-Power and High- Performance Full Adder for Low-Voltage Designs International Academic Institute for Science and Technology International Academic Journal of Science and Engineering Vol. 2, No., 201, pp. 29-. ISSN 2-9 International Academic Journal of Science and Engineering

More information

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches Indian Journal of Science and Technology, Vol 9(17), DOI: 10.17485/ijst/2016/v9i17/93111, May 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Study and Analysis of CMOS Carry Look Ahead Adder with

More information

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages A. Suvir Vikram *, Mrs. K. Srilakshmi ** And Mrs. Y. Syamala *** * M.Tech,

More information

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS

SURVEY AND EVALUATION OF LOW-POWER FULL-ADDER CELLS SURVEY ND EVLUTION OF LOW-POWER FULL-DDER CELLS hmed Sayed and Hussain l-saad Department of Electrical & Computer Engineering University of California Davis, C, U.S.. STRCT In this paper, we survey various

More information

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique

Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Low Power 8-Bit ALU Design Using Full Adder and Multiplexer Based on GDI Technique Mohd Shahid M.Tech Student Al-Habeeb College of Engineering and Technology. Abstract Arithmetic logic unit (ALU) is an

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

Sub-threshold Design using SCL for Low Power Applications

Sub-threshold Design using SCL for Low Power Applications Sub-threshold Design using SCL for Low Power Applications 1 Rajiv Gopal, 2 M Murali Krishna Student, Department of ECE, Asst. Professor, Department of ECE, GITAM Institute of Technology, GITAM University,

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Energy Efficient high Performance Three INPUT EXCLUSIVE- OR/NOR Gate Design

Energy Efficient high Performance Three INPUT EXCLUSIVE- OR/NOR Gate Design 2017 IJSRST Volume 3 Issue 6 Print ISSN: 2395-6011 Online ISSN: 2395-602X Themed Section: Science and Technology Energy Efficient high Performance Three INPUT EXCLUSIVE- OR/NOR Gate Design Aditya Mishra,

More information

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 77-81 International Research Publication House http://www.irphouse.com Noise Tolerance Dynamic CMOS Logic

More information

Design and Analysis of CMOS based Low Power Carry Select Full Adder

Design and Analysis of CMOS based Low Power Carry Select Full Adder Design and Analysis of CMOS based Low Power Carry Select Full Adder Mayank Sharma 1, Himanshu Prakash Rajput 2 1 Department of Electronics & Communication Engineering Hindustan College of Science & Technology,

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa atarina enter for Technology omputer Science & Electronics Engineering Integrated ircuits & Systems INE 5442 Lecture 16 MOS ombinational ircuits - 2 guntzel@inf.ufsc.br Pass

More information

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 44 Digital Circuits Other Logic Styles Dynamic Logic Circuits Course Evaluation Reminder - ll Electronic http://bit.ly/isustudentevals Review from Last Time Power Dissipation in Logic Circuits

More information

Design and Simulation of Low Voltage Operational Amplifier

Design and Simulation of Low Voltage Operational Amplifier Design and Simulation of Low Voltage Operational Amplifier Zach Nelson Department of Electrical Engineering, University of Nevada, Las Vegas 4505 S Maryland Pkwy, Las Vegas, NV 89154 United States of America

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer G.Bramhini M.Tech (VLSI), Vidya Jyothi Institute of Technology. G.Ravi Kumar, M.Tech Assistant Professor, Vidya Jyothi Institute of

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Digital Microelectronic Circuits ( ) Pass Transistor Logic. Lecture 9: Presented by: Adam Teman

Digital Microelectronic Circuits ( ) Pass Transistor Logic. Lecture 9: Presented by: Adam Teman Digital Microelectronic Circuits (361-1-3021 ) Presented by: Adam Teman Lecture 9: Pass Transistor Logic 1 Motivation In the previous lectures, we learned about Standard CMOS Digital Logic design. CMOS

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

A Generic Standard Cell Design Methodology for Differential Circuit Styles

A Generic Standard Cell Design Methodology for Differential Circuit Styles A Generic Standard Cell Design Methodology for Differential Circuit Styles Stéphane Badel, Erdem Güleyüpoğlu, Özgür İnaç, Anna Peña Martinez, Paolo Vietti, Frank K. Gürkaynak and Yusuf Leblebici Microelectronic

More information

Energy Efficient Design of Logic Circuits Using Adiabatic Process

Energy Efficient Design of Logic Circuits Using Adiabatic Process Energy Efficient Design of Logic Circuits Using Adiabatic Process E. Chitra 1,N. Hemavathi 2, Vinod Ganesan 3 1 Dept. of ECE,SRM University, Chennai, India, chitra.e@ktr.srmuniv.ac.in 2 Dept. of ECE, SRM

More information

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2 Minimization of Leakage Current of 6T SRAM using Optimal Technology Sumit Kumar Srivastava 1, Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology, Uttar Pradesh Technical

More information

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. II (Nov -Dec. 2015), PP 06-15 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org High-Performance of Domino Logic

More information

Short-Circuit Power Reduction by Using High-Threshold Transistors

Short-Circuit Power Reduction by Using High-Threshold Transistors J. Low Power Electron. Appl. 2012, 2, 69-78; doi:10.3390/jlpea2010069 OPEN ACCESS Journal of Low Power Electronics and Applications ISSN 2079-9268 www.mdpi.com/journal/jlpea/ Article Short-Circuit Power

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 11 BiCMOS PMOS rray Q1 NMOS rray Y NMOS rray Q2 dib brishamifar EE Department IUST Contents Introduction BiCMOS Devices BiCMOS Inverters BiCMOS Gates BiCMOS Drivers

More information

Digital Electronics. Assign 1 and 0 to a range of voltage (or current), with a separation that minimizes a transition region. Positive Logic.

Digital Electronics. Assign 1 and 0 to a range of voltage (or current), with a separation that minimizes a transition region. Positive Logic. Digital Electronics Assign 1 and 0 to a range of voltage (or current), with a separation that minimizes a transition region Positive Logic Logic 1 Negative Logic Logic 0 Voltage Transition Region Transition

More information

A Design Comparison of Low Power 50 nm Technology Based Inverter with Sleep Transistor and MTCMOS Scheme

A Design Comparison of Low Power 50 nm Technology Based Inverter with Sleep Transistor and MTCMOS Scheme A Design Comparison of Low Power 50 nm Technology Based Inverter with Sleep Transistor and MTCMOS Scheme Arun Kumar Sunaniya, PhD Scholar MANIT Bhopal arun.sunaniya@gmail.com Kavita Khare Associate professor

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

Implementation of Low Power High Speed Full Adder Using GDI Mux

Implementation of Low Power High Speed Full Adder Using GDI Mux Implementation of Low Power High Speed Full Adder Using GDI Mux Thanuja Kummuru M.Tech Student Department of ECE Audisankara College of Engineering and Technology. Abstract The binary adder is the critical

More information

An Efficient D-Flip Flop using Current Mode Signaling Scheme

An Efficient D-Flip Flop using Current Mode Signaling Scheme IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 02 August 2016 ISSN (online): 2349-784X An Efficient D-Flip Flop using Current Mode Signaling Scheme Sheona Varghese PG

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

A gate sizing and transistor fingering strategy for

A gate sizing and transistor fingering strategy for LETTER IEICE Electronics Express, Vol.9, No.19, 1550 1555 A gate sizing and transistor fingering strategy for subthreshold CMOS circuits Morteza Nabavi a) and Maitham Shams b) Department of Electronics,

More information

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit Available online www.ejaet.com European Journal of Advances in Engineering and Technology, 2017, 4 (5): 319-325 Research Article ISSN: 2394-658X Improved Two Phase Clocked Adiabatic Static CMOS Logic Circuit

More information

Design of 2-bit Full Adder Circuit using Double Gate MOSFET

Design of 2-bit Full Adder Circuit using Double Gate MOSFET Design of 2-bit Full Adder Circuit using Double Gate S.Anitha 1, A.Logeaswari 2, G.Esakkirani 2, A.Mahalakshmi 2. Assistant Professor, Department of ECE, Renganayagi Varatharaj College of Engineering,

More information

Low Power Parallel Prefix Adder Design Using Two Phase Adiabatic Logic

Low Power Parallel Prefix Adder Design Using Two Phase Adiabatic Logic Journal of Electrical and Electronic Engineering 2015; 3(6): 181-186 Published online December 7, 2015 (http://www.sciencepublishinggroup.com/j/jeee) doi: 10.11648/j.jeee.20150306.11 ISSN: 2329-1613 (Print);

More information