The Layout Implementations of High-Speed Low-Power Sequential Logic Cells Based on MOS Current-Mode Logic

Size: px
Start display at page:

Download "The Layout Implementations of High-Speed Low-Power Sequential Logic Cells Based on MOS Current-Mode Logic"

Transcription

1 The Layout mplementations of High-Speed Low-Power Sequential Logic Cells Based on MOS Current-Mode Logic 1 Ni Haiyan, 2 Li Zhenli *1,Corresponding Author Ningbo University, nbuhjp@yahoo.cn 2 Ningbo University, nbuli@yahoo.com.cn Abstract MOS Current-Mode Logic (MCML) is usually used for high-speed applications. n this paper, the design method of the high-speed low-power MCML is addressed. The layout implementations of MCML D-Flip flop cells are presented at a NCSU FreePDK 45nm technology. A mod-10 counter based on the proposed D-Flip flop cells is implemented to verify the efficiency of the proposed design method. The post-layout simulations are carried out. For normal supply voltage, the MCML sequential logic circuit can save more energy and have better performance than the traditional CMOS counterparts at 1GHz or higher operation frequencies. Scaling down the supply voltage of MCML circuits is investigated. The results show that the power consumption of MCML circuits can be reduced by lowering the supply voltage without performance degrading. Keywords: MOS current mode logic, near-threshold, low voltage, low power, flip flop, high-speed applications 1. ntroduction With the growing uses of portable and wireless electronic systems, energy-efficient designs have become more and more important in integrated circuits [1, 2]. MOS current mode logic (MCML) techniques could be used to realize high-speed circuits, and thus it is usually used for high-speed applications such as high-speed processors and Gbps multiplexers for optical transceivers [3, 4]. The circuits designed with the MCML techniques can operate over a wide range of frequencies. Another interesting advantage of this technique is that their speed and power consumption can be simply adjusted by altering the bias current of the gates without the need for resizing the devices. MCML has large static power consumption due to its constant operation current. Therefore, the power dissipation of MCML circuits is much larger than the conventional CMOS ones at low operating frequencies. Recently, the low power MCML designs have obtained some attentions. P. Heydari and G. Caruso presented the methodologies for the low-power design of MCML-based buffer chain and ring oscillators, respectively [5, 6]. M. H. Anis et al. proposed the multi-threshold MCML (MTMCML) technology that allows the reduction of the minimum supply voltage of the two-level MCML circuits, hence reduces the power dissipations of the MCML circuits [7]. However the analysis presented in [7] for MTMCML was based on inaccurate long-channel modeling equations, which is inappropriate for today s nanometer CMOS technologies. n [8], J. Beom et al. presented a low-power MOS current mode logic circuit with sleep-transistor to reduce the leakage current with 0.35mm process, but the parameters need redesign for the adaption to nowadays nanometer process. F. Cannillo et al. presented low power MCML circuits with a sub-threshold configuration and achieved significant reductions in power dissipation [9-10], but the degraded performance of the sub-threshold MCML is not suitable the mainstream applications today. n this work, we proposed a near-threshold design for high-speed low-power sequential logic circuit. This paper is organized as follows. n section 2, the basic MCML inverter/buffer, MCML design by using binary decision diagram method, and power and delay analysis for MCML circuits are reviewed. n section 3, the MCML circuits for near-threshold operating is introduced, and the minimum operating supply voltage for MCML circuits analyzed in detail. The layout implementations of MCML D-latches and D-Flip flops (DFF) with a NCSU FreePDK 45nm technology is given in section 4. The experimental results of near-threshold computing for a mod-10 counter based on the proposed MCML flip flop cells is are presented in section 5. Finally, our work of this paper is summarized in the last section. Journal of Convergence nformation Technology(JCT) Volume7, Number10, June 2012 doi: /jcit.vol7.issue10.1 1

2 2. Review of MCML circuits The basic MCML inverter/buffer and its bias circuit are shown in Fig. 1, and the operation waveforms are shown in Fig. 2. The MCML inverter is composed of three main parts: the load transistors P1 and P2, the full differential pull down switch network consisting of N1 and N2, and the current source transistor Ns. The load transistors are designed to operate at linear region with the auxiliary of the control voltage Vref produced by the bias circuit, which also controls the output logic swings [11]. The pull-down network (PDN) NMOS N1 and N2 are used to perform logic operation. The NMOS Ns is used to provide the constant current source, which is mirrored from the current source in the bias circuit. n the MCML, the two signals Vrfp and Vrfn are generated from the bias circuit to ensure the proper operating for output voltage swings and to provide the constant bias current. V DD V DD Vx + - Vrfp V DD P1 P2 OUTb OUT N N1 N2 Nb Vrfn Ns Bias Circuit Figure 1. MCML inverter/buffer and its bias circuit. The operation of MCML circuits is performed in the current domain. The pull down network switches the constant current between two branches in the control of input signals, and then the load converts the current to output voltage swings. The high and low digital logic levels are V OH = V DD and V OL = V DD - B R D, respectively, where R D is the PMOS load resistance. The logic swing is ΔV = V OH - V OL = B R D. Figure 2. The operation waveforms of the MCML inverter/buffer. MCML is a type of differential logic circuit with dual-terminal input and dual-terminal output ports like DCVSL and DSL [12, 13]. n general, differential circuits have much higher noise immunity and are inherently faster than their CMOS counterparts at the cost of larger area. The core of the differential logic cell is the PDN logic tree. When designing the topology structure of the MCML complicated gates or functional circuits, a binary decision diagram (BDD) [14] method is always used to represent 2

3 and simply the MCML circuit, whose PDN logic tree can be represented using BDD where each node is a differential pair and each branch is a connection between one drain and the source of another differential pair or an output [13]. The square 0 and 1 nodes represent the complementary outputs of the MCML gate. BDD is a very practical way to capture the behavior of the MCML, and furthermore, it can help to simplify the logic tree of the MCML. Fig. 3 shows the original and the simplified BDD, and the schematic view of a positive MCML D-latch, which is an important basic cell in sequential logic circuit. Clk Clk V DD Vrfp Qb Q D D Q D D Db Clkb Clk Q Vrfn (a) (b) (c) Figure 3.(a) Original BDD, (b) Simplified BDD, and (c) Schematic view of the positive MCML D- latch. The optimization performance metrics of the MCML cells mainly include propagation delay, power dissipation, and power-delay product [15-17]. Due to the operating constant current whenever it is either in activate mode or in standby mode, the power consumption of a MCML cell is independent of the switching frequency, and it can been written as P N V DD B (1) where N is the number of basic parts in a MCML circuit, V DD is the supply voltage, and B is the bias current of the MCML cell. From (1), the power dissipation of MCML circuit can be reduced by using near-threshold techniques. The delay time of a MCML cell can be calculated assuming that, at each transition, the whole B, ideally, flows through one branch of the differential pair and charges the total load capacitance C, is given by Eq.2 t 0.69 RC 0.69C V / (2) d B Where B is the operating constant current, R is the equivalent resistance of one branch of the load PMOS transistor, C is identical load capacitance on an output node, and ΔV is the output voltage swing that is generated from the bias circuit. The power-delay product is independent of the switching frequency and can be calculated as PDP P t N 0. 69V V C (3) d For given V DD and B, the power dissipation of MCML cells is a constant value. t is independent of both the operation frequencies and fan-outs. Therefore, the power dissipation of MCML cells is also independent of the logic function. The power dissipation of conventional CMOS circuits can be expressed as DD P fv 2 DD C L (4) where f is operation frequency of conventional CMOS circuits, and C L is load capacitance of conventional CMOS circuits. The power dissipation of CMOS circuits depends on the operation 3

4 frequency linearly. Therefore, there exists a cross-frequency, above which MCML circuits is more power efficient than conventional CMOS counterparts. t is importance to estimating the crossfrequency for effectively using MCML circuits from the power point of view. There is a simple method to estimate the cross-frequency according to the power dissipations of the MCML and conventional CMOS gates. When P CMOS =P MCML, the cross-frequency f c can be derived as f / V C (5) c B DD L t is assumed that the MCML and conventional CMOS circuits operate in the same supply voltage. According to Eq. (5), the cross-frequency f c can be estimated. The power dissipation and power-delay product can be optimized from Eq. (1) to Eq. (3). An optimization has been carried out for the proposed cells at the NCSU FreePDK 45nm technology. 3. Near-threshold configuration Power consumption is a key factor of limiting circuit performance. n MCML circuits, the static power consumption is very large proportion, and dynamic power consumption is relatively small, almost negligible [6]. The static power dissipation of the MCML circuits is expressed as P=V DD B, where V DD is the supply voltage and B is the current flowing through the constant current source. Therefore, the power dissipation of the MCML circuits can be reduced by lowering either V DD or B. Reducing B would results in the increase of delay time. Therefore, reducing the supply voltage is an effective method to lower the power consumption of the MCML circuits. The literature [18] presented some current mode logic circuits operating at sub-threshold regime and obtained very small power consumption. However, these sub-threshold MCML circuits can only work at very low frequencies from 1KHz to 100KHz. n the sub-threshold MCML circuits, the performance is limited due to the exponential relationship between delay and supply voltage, and the rising leakage power in a near-exponential fashion. Scaling supply voltage to sub-threshold region only suits for ultra-low operation frequencies. Moreover, the robustness of sub-threshold logic circuits must carefully be considered, since their operation relies only on leakage currents that are exponentially dependent on V TH and are therefore more sensitive to process variation than traditional super-threshold designs [19]. Recently, the near-threshold computing is presented [19, 20]. The supply voltage of near-threshold circuits is slightly above the threshold voltage of the transistors. The MCML circuit with nearthreshold configuration, where the transistors are operating at moderate regime, is a merging approach to obtain lower power dissipation than the nominal voltage supply configuration. The near-threshold MCML circuits can achieve higher performance than sub-threshold configuration. The impact of activity and design parameters such as supply voltage and transistor sizing on the energy and performance in this operational region is derived in [19]. This region retains much of the energy savings of sub-threshold operation with more favorable performance and variability characteristics [20]. This makes it applicable to a broad range of power-constrained computing segments from wireless sensors, biomedical applications to high performance servers. n order to get the most efficient point of the near-threshold MCML circuit, the minimum supply voltage should be estimated. The minimum operating supply voltage for an MCML circuit is defined as the lowest voltage at which the differential pairs as well as the current source are made to operate in the uration region to ensure correct functionality and adequate performance [19]. The V min of MCML universal logic gate, shown in Fig. 1, can be expressed as V min V V V (6) ds1, dss, n the configuration, the minimum operation voltage of the MCML gate is about 0.7V according to the Eq. (7) derived in [18]. gs3 V min 2W C V 1 th3 OX 2W C 3 OX 4E 1 1 W1L 1C OX 4E 1 1 2Ws COX W3L3C OX 4E 1 WsLsC OX 1 (7) 4

5 4. MCML sequential logic circuits For the convenient of observing the performance of the MCML circuits, a compact MCML cell library based on NCSU FreePDK45nm technology library [22] is presented, in which the Master-Slave DFFs with driving strength of X1 and X2 and the corresponding ones with reset terminal are implemented using Cadence Virtuosuo C design tools. The schematic view are all captured and the full-custom layout views are all drawn, from which full netlists with parasitic parameters is extracted. The schematic and the full-custom layout view of the basic DFF cell with X1 drive strength is shown in Fig. 4. The DFF is composed of two D type latch designed using BDD method mentioned previously. Fig. 5 shows the layout view of MCML DFF. n the layout deigns, the metal lines are placed horizontally at the top and the bottom that are used for the power supply (VDD) and ground (VSS), respectively. The inputs and outputs are placed in the middle. All gates have the same height. This allows fast and regular design for large circuit blocks. Fig. 6 shows the C 2 MOS positive edge-triggered DFF cell extracted from the NCSU FreePDK45 osu_soc standard cell library and Nangate Open standard cell library, which is used for comparing with the proposed MCML flip flop. The C 2 MOS (clocked CMOS) logic is widely used in the standard cell libraries of various process technologies nodes due to its lower overhead than the CMOS counterparts [23]. Figure 4. Schematic of the Master-Slave positive edge-triggered MCML D flip flop. Figure 5. The layout view of master-slave positive edge-triggered MCML D-Flip flop. 5

6 5. Simulation results Figure 6. The C 2 MOS master-slave D flip flop based on transmission gate. With the purpose of the further verification of the performance of the MCML DFF cells, a mod-10 counter based on the proposed DFF cells and other combinational cells is implemented, as shown in Fig. 7. These cells is selected from the MCML cell library that we fully created. The full custom layout is drawn, as shown in Fig. 8. The full parasitic parameters are extracted. The mod-10 counter based on C 2 MOS DFFs from FreePDK 45nm library and Nangate open library are also implemented. The post-layout simulations based on the three kinds of counters are carried out. Fig. 9 illustrates measurement bench of the counters for post-layout simulations. Each input is driven by buffered signals and each output is loaded with buffers, which provide a realistic simulation environment reflecting the counters operation in actual applications. Fig. 10 shows the operation waveform of the MCML mod-10 counter. Qb 3 Qb 1 Q 0 Q 1 Qb 0 Q 0 Q 1 Q 2 Q 2 Q 1 Q 0 Q 3 Qb 0 D 0 Q 0 D 1 Q 1 D 2 Q 2 D 3 Q 3 clk Qb 0 Qb 1 Qb 2 Figure 7. Schematic view of a mod-10 counter. Qb 3 Figure 8. The layout of the mod-10 based on the proposed MCML cells. 6

7 Q 3 clk Q 2 Q 1 Q 0 Figure 9. The measurement bench. The power dissipation of the mod-10 counters based on the proposed MCML cells and the other conventional CMOS libraries at different operation frequency is shown in Fig. 11 at the NCSU FreePDK 45nm technology and 1.0V supply voltage. As the operation frequency rises from 10MHz to 2GHz, the power dissipations of the counter based on traditional CMOS libraries increase rapidly, while the counterpart based on MCML cells keeps a constant value. From Fig. 11, the cross-frequency f c is about 1GHz. When the MCML counter operates at higher frequencies than 1GHz, the power dissipation is lower than the traditional CMOS counterparts. This character of MCML circuits makes it fit for high-speed applications. 1.0V 0.8V 1.0V 0.8V 1.0V 0.8V 1.0V 0.8V 1.0V 0.8V Clk Q3 Q2 Q1 Q Time (ns) Figure 10. Post-layout simulation waveform of the MCML mod-10 counter at 1GHz operation frequency and 1.0V supply voltage. 7

8 Power dissipations (mw) Figure 11. The power dissipation of the mod-10 counters based on MCML and conventional CMOS libraries at different operation frequency. The supply voltage is 1.0V. Layout post simulations have been also carried out for the MCML mod-10 counter and the C 2 MOS counterparts by varying the supply voltage from nominal 1.1V to near-threshold 0.7V at 1GHz operation frequency using HSPCE tool. Fig. 12 illustrates the energy dissipations of the mod-10 counters based on the MCML DFF from proposed cell library and conventional C 2 MOS DFF from the comparative cell libraries at different supply voltages. From the curves, we can see that the power dissipated in the MCML mod-10 counter decreased while the supply voltage falls from nominal voltage to near- threshold voltage, as the same trend as the conventional CMOS counterparts. The power dissipation of the MCML mod-10 counter can save about 44.6% at 0.7V near-threshold voltage than 1.1V nominal voltage without performance degradation. Power disiipations (mw) Figure 12. The power dissipation of the mod-10 counters based on cells from MCML cell library and ones from conventional CMOS cell libraries at different supply voltages. 6. Conclusions 8

9 MCML is usually used for high-speed applications. The design method of the high-speed low-power MCML has been addressed in this paper. The layout implementations of MCML DFF cells are presented at a NCSU FreePDK 45nm technology. A mod-10 counter based on the proposed cells is implemented to verify the efficiency of the proposed design method. The post-layout simulations are carried out. For normal supply voltage, the MCML sequential logic circuit can save more energy and have better performance than the traditional CMOS counterparts at 1GHz or higher operation frequencies. n order to show energy efficiency and performance of the MCML circuits in near-threshold configuration applications, scaling down the supply voltage of MCML circuits is also investigated. The post-layout simulations show that the power consumption of the MCML basic gates can be reduced by lowering the supply voltage without performance degrading. 7. Acknowledgments Project is supported by National Natural Science Foundation of China (No ), Zhejiang Science and Technology Project of China (No. 2010C31116), Scientific Research Fund of Zhejiang Provincial Education Department (No. Z and No. Y ). 8. References [1] X. G. Guan, Z. M. Zhu, D. Zhou, Y. T. Yang, Low power asynchronous wrapper for network on chips with dynamic frequency scaling and power cut off, JDCTA: nternational Journal of Digital Content Technology and its Applications, vol. 5, no. 5, pp , [2] X. J. Zhang, P. D. Liu, D. R. Wang, The design and implementation of smart battery management system balance technology, JCT: Journal of Convergence nformation Technology, vol. 6, no. 5, pp , [3] M. Yamashina, H. Yamada, An MOS current mode logic (MCML) circuit for low-power sub- GHz processors, ECE Transactions on Electronics, vol. E75-C, no. 3, pp , [4] A. Tanabe, 0.18 m CMOS 10-Gb/s multiplexer/demultiplexer Cs using current mode logic with tolerance to threshold voltage fluctuation, EEE Journal of Solid State Circuits, vol. 36, no.6, pp , [5] P. Heydari, Design and analysis of low-voltage current-mode logic buffers, n Proceeding(s) of nternational Symposium on Quality Electronic Design, pp , [6] G. Caruso, A. Macchiarella, A design methodology for low-power MCML ring oscillators, n Proceeding(s) of European Conference on Circuit Theory and Design, pp , [7] M. H. Anis, M.. Elmasry, Power reduction via an MTCMOS implementation of MOS current mode logic, n Proceeding(s) of EEE nternational ASC/SOC Conference, pp , [8] J. Beom and Kim, Low-power MCML circuit with sleep-transistor, n Proceeding(s) of EEE 8th nternational Conference on in ASC, pp , [9] A. Tajalli, E. J. Brauer, Y. Leblebici, E. Vittoz, Subthreshold source-coupled logic circuits for ultra-low-power applications, EEE Journal of Solid-State Circuits, vol. 43, no. 7, pp , [10] A. Tajalli, E. J. Brauer, Y. Leblebici, Ultra-low power 32-bit pipelined adder using subthreshold source-coupled logic with 5fJ/stage PDP, Microelectronics Journal, vol. 40, no. 6, pp , [11] J. M. Musicer, J. Rabaey, MOS current mode logic for low power, low noise CORDC computation in mixed-signal environments", n Proceeding(s) of nternational Symposium on Low Power Electron, pp , [12] D. Somasekhar and K. Roy, LVDCSL: a high fan-in, high performance, low-voltage differential current switch logic family, EEE Transactions on Very Large Scale ntegration (VLS) Systems, vol. 6, no. 4, pp , [13] D. Somasekhar and K. Roy, Differential current switch logic: a low power DCVS logic family, EEE Journal of Solid-State Circuits, vol.31, no. 7, pp , [14] S. B. Akers, Binary decision diagrams, EEE Transactions on Computers, vol.c-27, no. 6, pp ,

10 [15] M. Alioto, G. Palumbo, Design strategies for source coupled logic gates, EEE Transactions on Circuits and Systems : Fundamental Theory and Applications, vol. 50, no. 5, pp , [16] H. Hassan, M. Anis, and M. Elmasry, MOS current mode circuits: analysis, design, and variability, EEE Transactions on Very Large Scale ntegration (VLS) Systems, vol. 13, no. 8, pp , [17] O. Musa, M. Shams, An efficient delay model for MOS current-mode logic automated design and optimization, EEE Transactions on Circuits and Systems : Regular Papers, vol. 57, no. 8, pp , [18] N. Verma, J. Kwong, and A. P. Chandrakasan, Nanometer MOSFET variation in minimum energy subthreshold circuits, EEE Transactions on Electron Devices, vol. 55, no. 1, pp , [19] D. Markovic, C. C. Wang, L. P. Alarcon, T. T. Liu, J. M. Rabaey, Ultralow-power design in near-threshold region, Proceedings of the EEE, vol. 98, no. 2, pp , [20] R. G. Dreslinski, M. Wieckowski, D. Blaauw, D. Sylvester, T. Mudge, Near-threshold computing: Reclaiming Moore's Law through energy efficient integrated circuits Proceedings of the EEE, vol. 98, no. 2, pp , [21] Y. B. Wu and J. P. Hu, Low-voltage MOS current mode logic for low-power and high speed applications, nformation Technology Journal, vol.10, no. 12, pp , [22] H. Y. Ni, J. P. Hu, The layout implementations of high-speed low-power MCML cells, n Proceeding(s) of The nternational Conference on Electronics, Communications and Control, pp , [23] Y. Suzuki, K. Odagawa and T. Abe, Clocked CMOS calculator circuitry, EEE Journal of Solid- State Circuits, vol. 8, no. 6, pp ,

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits

Near-threshold Computing of Single-rail MOS Current Mode Logic Circuits Research Journal of Applied Sciences, Engineering and Technology 5(10): 2991-2996, 2013 ISSN: 2040-7459; e-issn: 2040-7467 Maxwell Scientific Organization, 2013 Submitted: September 16, 2012 Accepted:

More information

A Study on Super Threshold FinFET Current Mode Logic Circuits

A Study on Super Threshold FinFET Current Mode Logic Circuits XUQING ZHNG et al: STUDY ON SUPER THRESHOLD FINFET CURRENT MODE LOGIC CIRCUITS Study on Super Threshold FinFET Current Mode Logic rcuits Xuqiang ZHNG, Jianping HU *, Xia ZHNG Faculty of Information Science

More information

High-Speed Low-Power MCML Nanometer Circuits with Near-Threshold Computing

High-Speed Low-Power MCML Nanometer Circuits with Near-Threshold Computing JOURNL OF COMPUTER, VOL. 8, NO., JNUR 23 29 High-peed Low-Power Nanometer Circuits with Near-Threshold Computing Jianping Hu, Haiyan Ni, and inshui Xia Faculty of Information cience and Technology, Ningbo

More information

Open Access A Power-Gating Scheme for MCML Circuits with Separable-Sizing Sleep Transistors

Open Access A Power-Gating Scheme for MCML Circuits with Separable-Sizing Sleep Transistors Send Orders for Reprints to reprints@benthamscience.ae 306 The Open Electrical & Electronic Engineering Journal, 2014, 8, 306-315 Open Access A Power-Gating Scheme for MCML Circuits with Separable-Sizing

More information

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL)

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) International Journal of Electronics Engineering, (1), 010, pp. 19-3 Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) Ashutosh Nandi 1, Gaurav Saini, Amit Kumar Jaiswal

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS

MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS MOS CURRENT MODE LOGIC BASED PRIORITY ENCODERS Neeta Pandey 1, Kirti Gupta 2, Stuti Gupta 1, Suman Kumari 1 1 Dept. of Electronics and Communication, Delhi Technological University, New Delhi (India) 2

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

A Novel Latch design for Low Power Applications

A Novel Latch design for Low Power Applications A Novel Latch design for Low Power Applications Abhilasha Deptt. of Electronics and Communication Engg., FET-MITS Lakshmangarh, Rajasthan (India) K. G. Sharma Suresh Gyan Vihar University, Jagatpura, Jaipur,

More information

Optimization of power in different circuits using MTCMOS Technique

Optimization of power in different circuits using MTCMOS Technique Optimization of power in different circuits using MTCMOS Technique 1 G.Raghu Nandan Reddy, 2 T.V. Ananthalakshmi Department of ECE, SRM University Chennai. 1 Raghunandhan424@gmail.com, 2 ananthalakshmi.tv@ktr.srmuniv.ac.in

More information

A new 6-T multiplexer based full-adder for low power and leakage current optimization

A new 6-T multiplexer based full-adder for low power and leakage current optimization A new 6-T multiplexer based full-adder for low power and leakage current optimization G. Ramana Murthy a), C. Senthilpari, P. Velrajkumar, and T. S. Lim Faculty of Engineering and Technology, Multimedia

More information

Sub-threshold Design using SCL for Low Power Applications

Sub-threshold Design using SCL for Low Power Applications Sub-threshold Design using SCL for Low Power Applications 1 Rajiv Gopal, 2 M Murali Krishna Student, Department of ECE, Asst. Professor, Department of ECE, GITAM Institute of Technology, GITAM University,

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

A Generic Standard Cell Design Methodology for Differential Circuit Styles

A Generic Standard Cell Design Methodology for Differential Circuit Styles A Generic Standard Cell Design Methodology for Differential Circuit Styles Stéphane Badel, Erdem Güleyüpoğlu, Özgür İnaç, Anna Peña Martinez, Paolo Vietti, Frank K. Gürkaynak and Yusuf Leblebici Microelectronic

More information

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Hendrawan Soeleman, Kaushik Roy, and Bipul Paul Purdue University Department of Electrical and Computer Engineering West Lafayette, IN 797, USA fsoeleman,

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE MS. V.NIVEDITHA 1,D.MARUTHI KUMAR 2 1 PG Scholar in M.Tech, 2 Assistant Professor, Dept. of E.C.E,Srinivasa Ramanujan Institute

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

II. Previous Work. III. New 8T Adder Design

II. Previous Work. III. New 8T Adder Design ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: High Performance Circuit Level Design For Multiplier Arun Kumar

More information

Power And Area Optimization of Pulse Latch Shift Register

Power And Area Optimization of Pulse Latch Shift Register International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 12, Issue 6 (June 2016), PP.41-45 Power And Area Optimization of Pulse Latch Shift

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

Electronics Basic CMOS digital circuits

Electronics Basic CMOS digital circuits Electronics Basic CMOS digital circuits Prof. Márta Rencz, Gábor Takács, Dr. György Bognár, Dr. Péter G. Szabó BME DED October 21, 2014 1 / 30 Introduction The topics covered today: The inverter: the simplest

More information

Comparison of Power Dissipation in inverter using SVL Techniques

Comparison of Power Dissipation in inverter using SVL Techniques Comparison of Power Dissipation in inverter using SVL Techniques K. Kalai Selvi Assistant Professor, Dept. of Electronics & Communication Engineering, Government College of Engineering, Tirunelveli, India

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT Kaushal Kumar Nigam 1, Ashok Tiwari 2 Department of Electronics Sciences, University of Delhi, New Delhi 110005, India 1 Department of Electronic

More information

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR

LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR LOW POWER NOVEL HYBRID ADDERS FOR DATAPATH CIRCUITS IN DSP PROCESSOR B. Sathiyabama 1, Research Scholar, Sathyabama University, Chennai, India, mathumithasurya@gmail.com Abstract Dr. S. Malarkkan 2, Principal,

More information

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Sumit Kumar Srivastavar 1, Er.Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology,

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 5.71 International Journal of Advance Engineering and Research Development Volume 5, Issue 05, May -2018 e-issn (O): 2348-4470 p-issn (P): 2348-6406 COMPARATIVE

More information

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages A. Suvir Vikram *, Mrs. K. Srilakshmi ** And Mrs. Y. Syamala *** * M.Tech,

More information

Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications

Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications LETTER IEICE Electronics Express, Vol.12, No.3, 1 6 Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications Xin-Xiang Lian 1, I-Chyn Wey 2a), Chien-Chang Peng 3, and

More information

[Singh*, 5(3): March, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

[Singh*, 5(3): March, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY COMPARISON OF GDI BASED D FLIP FLOP CIRCUITS USING 90NM AND 180NM TECHNOLOGY Gurwinder Singh*, Ramanjeet Singh ECE Department,

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information

An Efficient D-Flip Flop using Current Mode Signaling Scheme

An Efficient D-Flip Flop using Current Mode Signaling Scheme IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 02 August 2016 ISSN (online): 2349-784X An Efficient D-Flip Flop using Current Mode Signaling Scheme Sheona Varghese PG

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

Sub-threshold Logic Circuit Design using Feedback Equalization

Sub-threshold Logic Circuit Design using Feedback Equalization Sub-threshold Logic Circuit esign using Feedback Equalization Mahmoud Zangeneh and Ajay Joshi Electrical and Computer Engineering epartment, Boston University, Boston, MA, USA {zangeneh, joshi}@bu.edu

More information

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE Mei-Wei Chen 1, Ming-Hung Chang 1, Pei-Chen Wu 1, Yi-Ping Kuo 1, Chun-Lin Yang 1, Yuan-Hua Chu 2, and Wei Hwang

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code: Global Journal of researches in engineering Electrical and electronics engineering Volume 12 Issue 3 Version 1.0 March 2012 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global

More information

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE

DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE REUSE TECHNIQUE Journal of Engineering Science and Technology Vol. 12, No. 12 (2017) 3344-3357 School of Engineering, Taylor s University DESIGN AND SIMULATION OF A HIGH PERFORMANCE CMOS VOLTAGE DOUBLERS USING CHARGE

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Designs of 2P-2P2N Energy Recovery Logic Circuits

Designs of 2P-2P2N Energy Recovery Logic Circuits Research Journal of Applied Sciences, Engeerg and Technology 5(21): 4977-4982, 213 ISSN: 24-7459; e-issn: 24-7467 Maxwell Scientific Organization, 213 Submitted: July 31, 212 Accepted: September 17, 212

More information

Design Analysis of 1-bit Comparator using 45nm Technology

Design Analysis of 1-bit Comparator using 45nm Technology Design Analysis of 1-bit Comparator using 45nm Technology Pardeep Sharma 1, Rajesh Mehra 2 1,2 Department of Electronics and Communication Engineering, National Institute for Technical Teachers Training

More information

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology.  Gate choice, logical optimization.  Fanin, fanout, Serial vs. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Design Space Exploration Lec 18: March 28, 2017 Design Space Exploration, Synchronous MOS Logic, Timing Hazards 3 Design Problem Problem Solvable!

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 77-81 International Research Publication House http://www.irphouse.com Noise Tolerance Dynamic CMOS Logic

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

A Novel Approach for High Speed and Low Power 4-Bit Multiplier IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 3 (Nov. - Dec. 2012), PP 13-26 A Novel Approach for High Speed and Low Power 4-Bit Multiplier

More information

Analysis of shift register using GDI AND gate and SSASPL using Multi Threshold CMOS technique in 22nm technology

Analysis of shift register using GDI AND gate and SSASPL using Multi Threshold CMOS technique in 22nm technology International Journal of Innovation and Scientific Research ISSN 2351-8014 Vol. 22 No. 2 Apr. 2016, pp. 415-424 2015 Innovative Space of Scientific Research Journals http://www.ijisr.issr-journals.org/

More information

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches Indian Journal of Science and Technology, Vol 9(17), DOI: 10.17485/ijst/2016/v9i17/93111, May 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Study and Analysis of CMOS Carry Look Ahead Adder with

More information

Power Efficient adder Cell For Low Power Bio MedicalDevices

Power Efficient adder Cell For Low Power Bio MedicalDevices IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. III (Mar-Apr. 2014), PP 39-45 e-issn: 2319 4200, p-issn No. : 2319 4197 Power Efficient adder Cell For Low Power Bio MedicalDevices

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July ISSN International Journal of Scientific & Engineering Research, Volume 6, Issue 7, July-2015 636 Low Power Consumption exemplified using XOR Gate via different logic styles Harshita Mittal, Shubham Budhiraja

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com COMPARISON AMONG DIFFERENT INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN HARSHVARDHAN UPADHYAY* ABHISHEK CHOUBEY**

More information

A Low Power Single Phase Clock Distribution Multiband Network

A Low Power Single Phase Clock Distribution Multiband Network A Low Power Single Phase Clock Distribution Multiband Network A.Adinarayana Asst.prof Princeton College of Engineering and Technology. Abstract : Frequency synthesizer is one of the important elements

More information

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation 2017 International Conference on Electronic, Control, Automation and Mechanical Engineering (ECAME 2017) ISBN: 978-1-60595-523-0 A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement

More information

Performance analysis of Modified SRAM Memory Design using leakage power reduction

Performance analysis of Modified SRAM Memory Design using leakage power reduction Performance analysis of Modified Memory Design using leakage power reduction 1 Udaya Bhaskar Pragada, 2 J.S.S. Rama Raju, 3 Mahesh Gudivaka 1 PG Student, 2 Associate Professor, 3 Assistant Professor 1

More information

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2 Minimization of Leakage Current of 6T SRAM using Optimal Technology Sumit Kumar Srivastava 1, Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology, Uttar Pradesh Technical

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI)

A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) A Low Power Array Multiplier Design using Modified Gate Diffusion Input (GDI) Mahendra Kumar Lariya 1, D. K. Mishra 2 1 M.Tech, Electronics and instrumentation Engineering, Shri G. S. Institute of Technology

More information

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems 1 Eun-Jung Yoon, 2 Kangyeob Park, 3* Won-Seok Oh 1, 2, 3 SoC Platform Research Center, Korea Electronics Technology

More information

Implementation of Low Power High Speed Full Adder Using GDI Mux

Implementation of Low Power High Speed Full Adder Using GDI Mux Implementation of Low Power High Speed Full Adder Using GDI Mux Thanuja Kummuru M.Tech Student Department of ECE Audisankara College of Engineering and Technology. Abstract The binary adder is the critical

More information

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS

STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS STATIC POWER OPTIMIZATION USING DUAL SUB-THRESHOLD SUPPLY VOLTAGES IN DIGITAL CMOS VLSI CIRCUITS Mrs. K. Srilakshmi 1, Mrs. Y. Syamala 2 and A. Suvir Vikram 3 1 Department of Electronics and Communication

More information

Power Efficient D Flip Flop Circuit Using MTCMOS Technique in Deep Submicron Technology

Power Efficient D Flip Flop Circuit Using MTCMOS Technique in Deep Submicron Technology Efficient D lip lop Circuit Using MTCMOS Technique in Deep Submicron Technology Abhijit Asthana PG Scholar in VLSI Design at ITM, Gwalior Prof. Shyam Akashe Coordinator of PG Programmes in VLSI Design,

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Designing Information Devices and Systems II Fall 2017 Note 1

Designing Information Devices and Systems II Fall 2017 Note 1 EECS 16B Designing Information Devices and Systems II Fall 2017 Note 1 1 Digital Information Processing Electrical circuits manipulate voltages (V ) and currents (I) in order to: 1. Process information

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer

A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer A Low Power and Area Efficient Full Adder Design Using GDI Multiplexer G.Bramhini M.Tech (VLSI), Vidya Jyothi Institute of Technology. G.Ravi Kumar, M.Tech Assistant Professor, Vidya Jyothi Institute of

More information

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates R Ravikumar Department of Micro and Nano Electronics, VIT University, Vellore, India ravi10ee052@hotmail.com

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

ISSN:

ISSN: 1391 DESIGN OF 9 BIT SAR ADC USING HIGH SPEED AND HIGH RESOLUTION OPEN LOOP CMOS COMPARATOR IN 180NM TECHNOLOGY WITH R-2R DAC TOPOLOGY AKHIL A 1, SUNIL JACOB 2 1 M.Tech Student, 2 Associate Professor,

More information

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES

COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES COMPREHENSIVE ANALYSIS OF ENHANCED CARRY-LOOK AHEAD ADDER USING DIFFERENT LOGIC STYLES PSowmya #1, Pia Sarah George #2, Samyuktha T #3, Nikita Grover #4, Mrs Manurathi *1 # BTech,Electronics and Communication,Karunya

More information