Datapath Testability Improvement through ad hoc Controller Modifications

Size: px
Start display at page:

Download "Datapath Testability Improvement through ad hoc Controller Modifications"

Transcription

1 Testability Improvement through ad hoc Controller Modifications M. L. Flottes, R. Pires, B. Rouzeyre Laboratoire d'informatique, de Robotique et de Micro-électronique de Montpellier, U.M. CNRS rue Ada, Montpellier Cedex 5, France Tel: (33) , Fax: (33) , rouzeyre@lirmm.fr Abstract Even if a datapath has been synthesized with testability in view, its testability may strongly decreases once it is connected to its controller. In this paper, we propose controller modifications for restoring the testability of the datapath to a level close to the initial one. Those modifications concern the next state logic as well as the decoder part of the controller. The method is based on the results of a testability analysis of the datapath at RT level and on the specification of the initial controller. I. Introduction and related works Most of digital circuits are composed of a datapath and of a controller (Fig.1.a). This dichotomy is particularly apparent when the circuit is obtained through a High Level Synthesis flow. The datapath performs computation on data applied on data primary. The controller sequences the normal flow of execution on the datapath. Even if the datapath is fully testable when considered in isolation, particularly if generated using a High Level Synthesis for Testability tool (see [1] and [2] for a survey), its testability can be strongly affected after connection to the control part. As a matter of fact, the controller implements only the normal flow of execution (system mode). As a consequence, the set of actual words on the control signals and the next state logic may limit the possibilities of testing in the datapath. The first point is illustrated on Fig.2. Let's assume that in system mode, only the multiplications PI 1 * Cst2 and PI 2 * Cst1 are exercised. In order to achieve full controllability on the output of the multiplier, it may be necessary to perform the operation PI 1 * PI 2. Unfortunately no control word containing (0,1) on (sel_mux1, sel_mux2) signals is sent by the decoder. The limitations due to the sequencing are illustrated with help of the datapath depicted in Fig.3.a. Let's consider the test of the in the adder. One way of testing all in the adder is given in Fig.3.b. Conversely, in system mode (Fig.3.c), some can not be tested due to the presence of the shifter in the propagation path. Though the "right words" exist in the controller, their sequencing does not allow the observation of some adder. The first point is addressed in [3]. The authors propose decoder modifications in order to eliminate so-called "bit implications" in the control words. In order to minimize controller area overhead, a subset of command words to add is heuristically chosen. Nevertheless, the used heuristics do not look at which words are really needed to enhance the testability of the datapath. In [4], the authors address the sequencing problem during high level synthesis. They propose to modify the conditions of the behavioral loops by addition of test pins, creating thus new control paths. Doing so, the state justification is made easier during ATPG and some in the datapath are made testable. Nevertheless, not all datapath are made testable (e.g. in the adder in the above

2 Primary control Primary control Additionnal Input sequencer Next state logic Flags Next state logic Flags State register State register code code primary control decoder Controller control signals primary control decoder control signals example), furthermore the lack of control words is not addressed. We propose here a controller modification method for enhancing testability of the datapath which gets rid of some of the above mentioned limitations. This method is based on the RT level description of the circuit. Neither the testability of the controller itself nor the observation of datapath through the controller is addressed in this paper. sel_mux1 sel_mux2 II Principle a) Initial architecture b) after modification Fig.1 Circuit's architecture PI 1 PI 2 Cst mux1 mux2 mult Cst2 Fig.2 Fragment of a datapath The proposed method is two-fold : introduction of new control words and sequencing modification. o New control words are intelligently chosen and added to the decoder while minimizing area overhead. These words can be activated either by scanning the state register or by modifying the next state logic. Note that the scan solution solves the sequencing problem too. For non-scan designs, modifications of the next state logic are necessary for activating extra control words and for solving sequencing problems (Fig.3). This point is addressed in the second part. The structural modifications of the controller are depicted in Fig.1.b: they concern the next state logic, the decoder and the introduction of a new primary control signal. The modifications are done according to a RT level testability analysis of the datapath. The main features of the test analysis method are the following : - the testability of the datapath modules can be questioned in two modes. In "control mode", the testability of datapaths modules is questioned while using the command words of the normal flow of execution. In the present version, we do not look at the sequencing of the operations in the normal flow of execution. Conversely, in "free mode", the datapath is considered as an isolated circuit i.e., the control are considered as primary. In this mode test data propagation may involve some control words which do not exist in the original controller. - a controllability and an observability measures are derived for each module in the datapath. These metrics reflect the proportion of test patterns that can be propagated from the primary to the module and from the module to the primary. The metrics can be obtained for both

3 PI 1 PI 2 + R2 modes. At the evidence, metrics in "free mode" are higher than in "control mode". - one control path and one observation path are generated for each module. It must be noted that the paths obtained in control mode differ from the ones obtained in free mode if the metrics do. - a RT-level "test plan" for each module is derived by scheduling control and observation paths in both modes (Fig.3.b is an example of such a test plan in free mode). With regard to the principle, the test plan of a module is similar to the "test environment" as presented in Genesis [5]. The test plan of a module is the sequence of control words sent by the controller for activating the best test path (in the sense of the number of test data propagated to and from the MUT). Details on this testability analysis can be found in [6]. II.1. Decoder modifications - mux1 R1 R3 mux2 PO New control words are added to the decoder with regard to the difference on testability metrics obtained in "free mode" and in "controller mode". More precisely, these words are those necessary to activate the test plan of modules which have testability metrics lower in "control mode" than in "free mode". This strategy allows to select, among all missing words, only the ones that are useful to enhance the testability of the datapath. These words present the interesting feature of containing many don't care values. This point stresses the interest of working at RT level rather than at gate-level. 1 2 R1=PI 1+PI 2 ; PO=R1 ; 1 2 R1=PI 1 +PI 2 ; R2 = <<R1 ; 3 R1 = R2 - R3 ; 4 PO = R1 ; a) b) Test of the adder c) Normal flow of execution Fig.3. Example2 For instance, in the example of Fig.2, the word (xxxxx0xxx1xxx) with 0 on the sel_mux1 and 1 on sel_mux2 signals is a candidate. Thus, these words can be merged together and/or merged with the existing words allowing thus to limit area overhead during further logic minimization (see section III). Now, each test plan can be activated either by transforming the state register as a scan chain or by modifying the next state logic which is the topic of the second part of the method. II.2 Next state logic modifications In the second part of the method, new transitions are added to the controller. Those transitions are added for activating the test plan of each module. Here again, taking advantage of the don't care values, a minimal set of extra transitions is sought for minimizing area overhead. The transitions are activated by an extra control signal (see Fig.1.b). Modifying the next state logic in order to implement the sequence of command words of a test plan may increase a lot its area. Rather than to consider a test plan as a whole, we have chosen to consider every transition of the test plans individually. The process is illustrated on the controller given Fig.4.a for which the transitions T and the command words are : T 1= W 1(01101) W 2(00010) T 2= W 2(00010) W 3(10101) T 3= W 3(10101) W 4(00110) T 4= W 4(00110) W 5(00000) T 5= W 5(00000) W 1(01101) Let's assume that the transitions T p and the command words of the test plans to add are : T P1=01xxx 00xxx T P2=x1xxx xx111 T P3=0x0xx 0xx1x T P4=xx1x0 01xx1 T P5=x1x01 xx1x0 T P6=x01xx xxx1x T P7=0xxx1 0xx01 T P8=x011x xx111 Firstly, the transitions T p that cover at least one transition T are discarded from the list. For instance T p1 covers T 1 and T p6 covers T 3. Then a minimal number of transitions is added to the controller for covering all the remaining transitions T p. In a first step, transitions are added for activating new control words. Among all possibilities, the one that is covered by a

4 maximal number of Tp is chosen. For instance, if the only command word to add is W new = 01111, the transition W 3 W new is chosen among {W 1 W new,..., W 5 W new, W new W 1,..., W new W 5 } because it is covered by T P4 and T P8. The control graph is modified according to (Fig.4.b). In a second step, a minimal number of transitions covered by the remaining transitions Tp is added (Fig.4.c). W5 (a) S1 S2 S3 S4 S5 III Results W1 W2 W3 W4 T / Wnew T / W5 (b) (c) Figure 4 : Example of controller modification Concerning the addition of new control words to the decoder, we have performed experiments on six examples (A-F). In tables 1 and 2, DP refers to the datapath alone, DPD refers to the datapath and the original decoder while DPMD refers to the datapath and the modified decoder. Table 1 reports ATPG results concerning in the datapath while table 2 gives ATPG results on in the datapath and the decoder. The last column in Table 2 shows the low impact on area of the proposed method. Then we have applied the second part of the proposed method to example E (sequencing for test). Table 3 compares testability results on the datapath with the original controller and the modified one. These results S1 S2 S3 S4 S5 W1 W2 W3 W4 T / Wnew T / W1 T / W2 T / W3 T / W5 S1 S2 S3 S4 S5 W4 show that the method allows to restore the testability of the datapath to a level close to the maximal one. Though the testability of the datapath is only concerned by this controller modification method, results reported in Table 4 show that the testability of the whole circuit is not decreased but, contrarily, is widely increased. IV Discussion and conclusion The controller modification method T / Wnew presented here raises the testability a datapath to a level close to the achievable maximum. It is mainly based on a RT testability analysis and does not require ATPG. When used in conjunction with the synthesis for testability T / W2 of datapaths method presented in [7], highly testable circuits can be directly obtained. As presented here, this method leaves some room for further improvements : - the command words and transitions to T / W1 add are the results of one schedule of the test paths obtained from the datapath RT analysis. In the present version, the schedule is done without paying attention to the system mode's command words and transitions. This scheduling can be modified to reuse as many as possible initial command words and transitions. - in the same kind of idea, one single test path is derived for every module at RT level. This can be insufficient for completely testing a module, even in the case of regular structures like datapaths. The testability analysis can be modified to produce several test paths, leading thus to more controller modifications. There is place for trading-off testability improvements vs area overhead All these points are presently developed at LIRMM and we hope that they will lead to further improvemnts. References [1] L.J.Avra, E.J.McCluskey: «High-Level Synthesis of Testable Designs: an Overview of University Systems»,, International Test Conference, Test Synthesis Seminar, Digest of Papers, 1994 [2] K.D.Wagner, S.Dey,: «High-Level Synthesis for Testability: a Survey and Perspective», Proc. 33rd ACM/IEEE Design Automation Conference, pp , 1996

5 [3] S.Dey, V.Gangaram, M.Potkonjak,: "A Controller- Based Design-for-Testability Technique for Controller- Data Path Circuits", Proceedings of International Conference on Computer-Aided Design, pp , 1995 [4] F.F.Hsu, E.M.Rudnick, J.H.Patel : "Enhancing High- Level Control-Flow for Improved Testability", Proceedings of International Conference on Computer-Aided Design, pp , 1996 [5] S.Bathia, N.K.Jha: "Genesis: a behavioral synthsesis system for hierarchical testability", Proc. European Design and Test Conference, pp : , [6] M.L.Flottes, R.Pires, B.Rouzeyre: "Analyzing Testability from Behavioral to RT Level",, Proc. European Design and Test Conference, pp , 1997 [7] M.L.Flottes, R.Pires, B.Rouzeyre: "Alleviating DFT cost using testability driven HLS", Proceedings ATS 98. circuit version #detected #non-detected #non-testable Fault coverage % Test efficiency % A DP DPD DPMD B DP DPD DPMD C DP DPD DPMD D DP DPD DPMD E DP DPD DPMD F DP DPD DPMD Table 1: Faults in datapaths.

6 circuit version #detected #nondetected #nontestable Fault coverage % Test efficiency % ATPG time #command words area (µm 2 ) A DP mn DPD mn DPMD mn B DP mn DPD h DPMD mn C DP mn DPD h DPMD mn D DP mn DPD mn DPMD mn E DP h DPD h DPMD mn F DP mn DPD h DPMD h Table 2: Faults in ( + Decoder). version #detected #non-detected #non-testable Fault coverage % Test efficiency % Initial Modified controller Table 3: Faults in example E datapath. version #detected #non-detected #non-testable Fault Test ATPG area (µm²) coverage % efficiency % time Initial h Modified controller h Table 4: ATPG results on the whole circuit (example E)

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Abstract Pseudo-exhaustive pattern generators for built-in self-test (BIST) provide high fault

More information

On Built-In Self-Test for Adders

On Built-In Self-Test for Adders On Built-In Self-Test for s Mary D. Pulukuri and Charles E. Stroud Dept. of Electrical and Computer Engineering, Auburn University, Alabama Abstract - We evaluate some previously proposed test approaches

More information

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1 Lecture 16: Testing, Design for Testability MAH, AEN EE271 Lecture 16 1 Overview Reading W&E 7.1-7.3 - Testing Introduction Up to this place in the class we have spent all of time trying to figure out

More information

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design

Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Mixed Synchronous/Asynchronous State Memory for Low Power FSM Design Cao Cao and Bengt Oelmann Department of Information Technology and Media, Mid-Sweden University S-851 70 Sundsvall, Sweden {cao.cao@mh.se}

More information

Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability?

Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability? VLS esign esign for Test esign For Test What can we do to increase ability? He s dead Jim... Overview design for architectures ad-hoc, scan based, built-in in Goal: You are familiar with ability metrics

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

Modeling Gate Oxide Short Defects in CMOS Minimum Transistors

Modeling Gate Oxide Short Defects in CMOS Minimum Transistors Modeling Gate Oxide Short Defects in CMOS Minimum Transistors M. Renovell, J.M. Gallière, F. Azaïs and Y. Bertrand Laboratoire d'informatique Robotique Microélectronique de Montpellier LIRMM-UMII Université

More information

Design for Testability & Design for Debug

Design for Testability & Design for Debug EE-382M VLSI II Design for Testability & Design for Debug Bob Molyneaux Mark McDermott Anil Sabbavarapu EE 382M Class Notes Foil # 1 The University of Texas at Austin Agenda Why test? Scan: What is it?

More information

Solutions. ICS 151 Final. Q1 Q2 Q3 Q4 Total Credit Score. Instructions: Student ID. (Last Name) (First Name) Signature

Solutions. ICS 151 Final. Q1 Q2 Q3 Q4 Total Credit Score. Instructions: Student ID. (Last Name) (First Name) Signature ICS 151 Final Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 19 pages including this cover and 3 blank pages. 2. Write down your Student-Id

More information

ICS 151 Final. (Last Name) (First Name)

ICS 151 Final. (Last Name) (First Name) ICS 151 Final Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 19 pages including this cover and 3 blank pages. 2. Write down your Student-Id

More information

FPGA Implementation of Area-Delay and Power Efficient Carry Select Adder

FPGA Implementation of Area-Delay and Power Efficient Carry Select Adder International Journal of Innovative Research in Electronics and Communications (IJIREC) Volume 2, Issue 8, 2015, PP 37-49 ISSN 2349-4042 (Print) & ISSN 2349-4050 (Online) www.arcjournals.org FPGA Implementation

More information

VLSI testing Introduction

VLSI testing Introduction VLSI testing Introduction Virendra Singh Associate Professor Computer Architecture and Dependable Systems Lab Dept. of Electrical Engineering Indian Institute of Technology Bombay, Mumbai viren@ee.iitb.ac.in

More information

ASP-DAC $ IEEE

ASP-DAC $ IEEE A Testability Analysis Method for Register-Transfer Level Descriptions Mizuki TAKAHASHI, Ryoji SAKURAI, Hiroaki NODA, and Takashi KAMBE Precision Technology Development Center, SHARP Corporation Tenri,

More information

PROPOSED SCHEME OF COURSE WORK

PROPOSED SCHEME OF COURSE WORK PROPOSED SCHEME OF COURSE WORK Course Details: Course Title : Digital System Design Course Code :15EC1110 L T P C : 4 0 0 3 Program: : B.Tech. Specialization: : Electrical and Electronics Engineering Semester

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Exploring the Basics of AC Scan

Exploring the Basics of AC Scan Page 1 of 8 Exploring the Basics of AC Scan by Alfred L. Crouch, Inovys This in-depth discussion of scan-based testing explores the benefits, implementation, and possible problems of AC scan. Today s large,

More information

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng.

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng. MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng., UCLA - http://nanocad.ee.ucla.edu/ 1 Outline Introduction

More information

Path Delay Test Compaction with Process Variation Tolerance

Path Delay Test Compaction with Process Variation Tolerance 50.1 Path Delay Test Compaction with Process Variation Tolerance Seiji Kajihara Masayasu Fukunaga Xiaoqing Wen Kyushu Institute of Technology 680-4 Kawazu, Iizuka, 820-8502 Japan e-mail:{kajihara, fukunaga,

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

FAULT SIMULATION AND TEST GENERATION FOR SMALL DELAY FAULTS. A Dissertation WANGQI QIU

FAULT SIMULATION AND TEST GENERATION FOR SMALL DELAY FAULTS. A Dissertation WANGQI QIU FAULT SIMULATION AND TEST GENERATION FOR SMALL DELAY FAULTS A Dissertation by WANGQI QIU Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements for

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Design and Implementation of Complex Multiplier Using Compressors

Design and Implementation of Complex Multiplier Using Compressors Design and Implementation of Complex Multiplier Using Compressors Abstract: In this paper, a low-power high speed Complex Multiplier using compressor circuit is proposed for fast digital arithmetic integrated

More information

Design Automation for IEEE P1687

Design Automation for IEEE P1687 Design Automation for IEEE P1687 Farrokh Ghani Zadegan 1, Urban Ingelsson 1, Gunnar Carlsson 2 and Erik Larsson 1 1 Linköping University, 2 Ericsson AB, Linköping, Sweden Stockholm, Sweden ghanizadegan@ieee.org,

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

Testability Trade-offs for BIST Data Paths

Testability Trade-offs for BIST Data Paths Testability Trade-offs for BIST Data Paths Nicola Nicolici and Bashir M. Al-Hashimi Your Reference:JETT76601 Initial Submission - 20 July 2001 Revised Submission - 16 June 2003 Final Submission - 21 January

More information

High Speed, Low power and Area Efficient Processor Design Using Square Root Carry Select Adder

High Speed, Low power and Area Efficient Processor Design Using Square Root Carry Select Adder IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. VII (Mar - Apr. 2014), PP 14-18 High Speed, Low power and Area Efficient

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator

A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator Vol.2, Issue.3, May-June 22 pp-676-681 ISSN 2249-6645 A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator K. Nivitha 1, Anita Titus 2 1 ME-VLSI Design 2 Dept of

More information

Exploiting Regularity for Low-Power Design

Exploiting Regularity for Low-Power Design Reprint from Proceedings of the International Conference on Computer-Aided Design, 996 Exploiting Regularity for Low-Power Design Renu Mehra and Jan Rabaey Department of Electrical Engineering and Computer

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Veena S. Chakravarthi and Swaroop Ghosh Abstract Test power has emerged as an important design concern in nano-scaled

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

128 BIT MODIFIED SQUARE ROOT CARRY SELECT ADDER

128 BIT MODIFIED SQUARE ROOT CARRY SELECT ADDER 128 BIT MODIFIED SQUARE ROOT CARRY SELECT ADDER A. Santhosh Kumar 1, S.Mohana Sowmiya 2 S.Mirunalinii 3, U. Nandha Kumar 4 1 Assistant Professor, Department of ECE, SNS College of Technology, Coimbatore

More information

6.111 Lecture # 19. Controlling Position. Some General Features of Servos: Servomechanisms are of this form:

6.111 Lecture # 19. Controlling Position. Some General Features of Servos: Servomechanisms are of this form: 6.111 Lecture # 19 Controlling Position Servomechanisms are of this form: Some General Features of Servos: They are feedback circuits Natural frequencies are 'zeros' of 1+G(s)H(s) System is unstable if

More information

Chapter # 1: Introduction

Chapter # 1: Introduction Chapter # : Introduction Contemporary Logic Design Randy H. Katz University of California, erkeley May 994 No. - The Process Of Design Design Implementation Debug Design Initial concept: what is the function

More information

Fast Tuning Synthesizer

Fast Tuning Synthesizer Project Member: Nathan Roth Project Advisors: Dr. Brian Huggins Dr. Prasad Shastry Mr. James Jensen Date: November 18, 2003 Fast Tuning Synthesizer System Level Block Diagram Overview A frequency synthesizer

More information

Towards 100% Testable FI Digital Filters

Towards 100% Testable FI Digital Filters Towards 100% Testable FI Digital Filters Laurence Goodby+ Alex Orailo$jld +Dept. of Electrical & Computer Engineering $Dept. of Computer Science & Engineering University of California, San Diego La olla,

More information

Policy-Based RTL Design

Policy-Based RTL Design Policy-Based RTL Design Bhanu Kapoor and Bernard Murphy bkapoor@atrenta.com Atrenta, Inc., 2001 Gateway Pl. 440W San Jose, CA 95110 Abstract achieving the desired goals. We present a new methodology to

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 23: April 12, 2016 VLSI Design and Variation Penn ESE 570 Spring 2016 Khanna Lecture Outline! Design Methodologies " Hierarchy, Modularity,

More information

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Marco Storto and Roberto Saletti Dipartimento di Ingegneria della Informazione: Elettronica, Informatica,

More information

Student Department of EEE (M.E-PED), 2 Assitant Professor of EEE Selvam College of Technology Namakkal, India

Student Department of EEE (M.E-PED), 2 Assitant Professor of EEE Selvam College of Technology Namakkal, India Design and Development of Single Phase Bridgeless Three Stage Interleaved Boost Converter with Fuzzy Logic Control System M.Pradeep kumar 1, M.Ramesh kannan 2 1 Student Department of EEE (M.E-PED), 2 Assitant

More information

CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES

CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES 44 CHAPTER 3 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED ADDER TOPOLOGIES 3.1 INTRODUCTION The design of high-speed and low-power VLSI architectures needs efficient arithmetic processing units,

More information

CSE 370 Winter Homework 5 Solutions

CSE 370 Winter Homework 5 Solutions CSE 370 Winter 2008 Homework 5 Solutions 1) Carry Look-Ahead Adder (CLA) a) add1 b) add4 c) cla4 d) cla16 e) Gate Count: 118 gates add1 : 3 gates add4 : 4*Add1 = 12 gates cla4 : 14 gates cla16: (4*Add4)

More information

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing

Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing Design and Implementation of Current-Mode Multiplier/Divider Circuits in Analog Processing N.Rajini MTech Student A.Akhila Assistant Professor Nihar HoD Abstract This project presents two original implementations

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

Design of a Power Optimal Reversible FIR Filter for Speech Signal Processing

Design of a Power Optimal Reversible FIR Filter for Speech Signal Processing 2015 International Conference on Computer Communication and Informatics (ICCCI -2015), Jan. 08 10, 2015, Coimbatore, INDIA Design of a Power Optimal Reversible FIR Filter for Speech Signal Processing S.Padmapriya

More information

BPSK System on Spartan 3E FPGA

BPSK System on Spartan 3E FPGA INTERNATIONAL JOURNAL OF INNOVATIVE TECHNOLOGIES, VOL. 02, ISSUE 02, FEB 2014 ISSN 2321 8665 BPSK System on Spartan 3E FPGA MICHAL JON 1 M.S. California university, Email:santhoshini33@gmail.com. ABSTRACT-

More information

Glitch Analysis and Reduction in Register Transfer Level Power Optimization

Glitch Analysis and Reduction in Register Transfer Level Power Optimization In Proc. ACM/IEEE Design Automation Conference, pages 331-336, June 1996 Glitch Analysis and Reduction in Register Transfer Level Power Optimization Anand Raghunathan Department of EE Princeton University

More information

Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters

Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters Proceedings of the th WSEAS International Conference on CIRCUITS, Vouliagmeni, Athens, Greece, July -, (pp3-39) Trade-Offs in Multiplier Block Algorithms for Low Power Digit-Serial FIR Filters KENNY JOHANSSON,

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

Testing Digital Systems II. Problem: Fault Diagnosis

Testing Digital Systems II. Problem: Fault Diagnosis Testing Digital Systems II Lecture : Logic Diagnosis Instructor: M. Tahoori Copyright 26, M. Tahoori TDSII: Lecture Problem: Fault Diagnosis test patterns Circuit Under Diagnosis (CUD) expected response

More information

Design of Logic Systems

Design of Logic Systems Design of Logic Systems Design of Logic Systems Second edition D. Lewin Formerly Professor of Computer Science and Information Engineering, University of Sheffield D. Protheroe Lecturer in Electronic Engineering,

More information

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic Harris Introduction to CMOS VLSI Design (E158) Lecture 5: Logic David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture 5 1

More information

Design and implementation of Parallel Prefix Adders using FPGAs

Design and implementation of Parallel Prefix Adders using FPGAs IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 6, Issue 5 (Jul. - Aug. 2013), PP 41-48 Design and implementation of Parallel Prefix Adders

More information

Architecture and Design of Multiple Valued Digital and Computer Systems

Architecture and Design of Multiple Valued Digital and Computer Systems Architecture and Design of Multiple Valued Digital and Computer Systems Dusanka Bundalo 1, Zlatko Bundalo 2, Aleksandar Iliskovic 2, Branimir Djordjevic 3 1 Nova Banjalucka Banka Marije Bursac 7, 78000

More information

Using Statistical Transformations to Improve Compression for Linear Decompressors

Using Statistical Transformations to Improve Compression for Linear Decompressors Using Statistical Transformations to Improve Compression for Linear Decompressors Samuel I. Ward IBM Systems &Technology Group 11400 Burnet RD Austin TX 78758 E-mail: siward@us.ibm.com Chris Schattauer,

More information

Modern Digital System Design Using VHDL: A Practical Introduction

Modern Digital System Design Using VHDL: A Practical Introduction Modern Digital System Design Using VHDL: A Practical Introduction Department of Computer Engineering King Fahd University of Petroleum and Minerals Dhahran 31261, Saudi Arabia 0-1 Modern Digital System

More information

FPGA IMPLEMENATION OF HIGH SPEED AND LOW POWER CARRY SAVE ADDER

FPGA IMPLEMENATION OF HIGH SPEED AND LOW POWER CARRY SAVE ADDER ARTICLE FPGA IMPLEMENATION OF HIGH SPEED AND LOW POWER CARRY SAVE ADDER VS. Balaji 1*, Har Narayan Upadhyay 2 1 Department of Electronics & Instrumentation Engineering, INDIA 2 Dept.of Electronics & Communication

More information

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Ananda S.Paymode.Dnyaneshwar K.Padol. Santosh B.Lukare. Asst. Professor, Dept. of E & TC, LGNSCOE,Nashik,UO Pune, MaharashtraIndia

More information

X-Canceling MISR - New Approach for X-Tolerant Output Compaction

X-Canceling MISR - New Approach for X-Tolerant Output Compaction X-Canceling MISR - New Approach for X-Tolerant Output Compaction Nur A. Touba Computer Engineering Research Center Dept. of Electrical and Computer Engineering University of Texas at Austin INTRODUCTION

More information

: Principles of Automated Reasoning and Decision Making Midterm

: Principles of Automated Reasoning and Decision Making Midterm 16.410-13: Principles of Automated Reasoning and Decision Making Midterm October 20 th, 2003 Name E-mail Note: Budget your time wisely. Some parts of this quiz could take you much longer than others. Move

More information

Abstract. 2. MUX Vs XOR-XNOR. 1. Introduction.

Abstract. 2. MUX Vs XOR-XNOR. 1. Introduction. Novel rchitectures for High-peed and Low-Power 3-, 4- and - Compressors reehari Veeramachaneni, Kirthi Krishna M, Lingamneni vinash, reekanth Reddy Puppala, M.. rinivas Centre for VLI and Embedded ystem

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

ISSN:

ISSN: 343 Comparison of different design techniques of XOR & AND gate using EDA simulation tool RAZIA SULTANA 1, * JAGANNATH SAMANTA 1 M.TECH-STUDENT, ECE, Haldia Institute of Technology, Haldia, INDIA ECE,

More information

TESTABLE VLSI CIRCUIT DESIGN FOR CELLULAR ARRAYS

TESTABLE VLSI CIRCUIT DESIGN FOR CELLULAR ARRAYS 12-08-98 SENIOR DESIGN PROJECT PROPOSAL PROJECT SUMMARY The main objective of this project is to design testability features that can potentially be included in any CMOS chip. For this particular design

More information

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier

Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier Modified Booth Encoding Multiplier for both Signed and Unsigned Radix Based Multi-Modulus Multiplier M.Shiva Krushna M.Tech, VLSI Design, Holy Mary Institute of Technology And Science, Hyderabad, T.S,

More information

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

Design and Characterization of Parallel Prefix Adders using FPGAs

Design and Characterization of Parallel Prefix Adders using FPGAs Design and Characterization of Parallel Prefix Adders using FPGAs David H. K. Hoe, Chris Martinez and Sri Jyothsna Vundavalli Department of Electrical Engineering The University of Texas, Tyler dhoe@uttyler.edu

More information

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION Sinan Yalcin and Ilker Hamzaoglu Faculty of Engineering and Natural Sciences, Sabanci University, 34956, Tuzla,

More information

Section 1. Fundamentals of DDS Technology

Section 1. Fundamentals of DDS Technology Section 1. Fundamentals of DDS Technology Overview Direct digital synthesis (DDS) is a technique for using digital data processing blocks as a means to generate a frequency- and phase-tunable output signal

More information

Design of Area-Delay-Power Efficient Carry Select Adder Using Cadence Tool

Design of Area-Delay-Power Efficient Carry Select Adder Using Cadence Tool 25 IJEDR Volume 3, Issue 3 ISSN: 232-9939 Design of Area-Delay-Power Efficient Carry Select Adder Using Cadence Tool G.Venkatrao, 2 B.Jugal Kishore Asst.Professor, 2 Asst.Professor Electronics Communication

More information

Using Dynamic Views. Module Overview. Module Prerequisites. Module Objectives

Using Dynamic Views. Module Overview. Module Prerequisites. Module Objectives Using Dynamic Views Module Overview The term dynamic views refers to a method of composing drawings that is a new approach to managing projects. Dynamic views can help you to: automate sheet creation;

More information

Combinational Logic Circuits. Combinational Logic

Combinational Logic Circuits. Combinational Logic Combinational Logic Circuits The outputs of Combinational Logic Circuits are only determined by the logical function of their current input state, logic 0 or logic 1, at any given instant in time. The

More information

ECOM 4311 Digital System Design using VHDL. Chapter 9 Sequential Circuit Design: Practice

ECOM 4311 Digital System Design using VHDL. Chapter 9 Sequential Circuit Design: Practice ECOM 4311 Digital System Design using VHDL Chapter 9 Sequential Circuit Design: Practice Outline 1. Poor design practice and remedy 2. More counters 3. Register as fast temporary storage 4. Pipelined circuit

More information

Digital Controller Chip Set for Isolated DC Power Supplies

Digital Controller Chip Set for Isolated DC Power Supplies Digital Controller Chip Set for Isolated DC Power Supplies Aleksandar Prodic, Dragan Maksimovic and Robert W. Erickson Colorado Power Electronics Center Department of Electrical and Computer Engineering

More information

Artificial Neural Network Engine: Parallel and Parameterized Architecture Implemented in FPGA

Artificial Neural Network Engine: Parallel and Parameterized Architecture Implemented in FPGA Artificial Neural Network Engine: Parallel and Parameterized Architecture Implemented in FPGA Milene Barbosa Carvalho 1, Alexandre Marques Amaral 1, Luiz Eduardo da Silva Ramos 1,2, Carlos Augusto Paiva

More information

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES

CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 69 CHAPTER 4 ANALYSIS OF LOW POWER, AREA EFFICIENT AND HIGH SPEED MULTIPLIER TOPOLOGIES 4.1 INTRODUCTION Multiplication is one of the basic functions used in digital signal processing. It requires more

More information

Design for Testability Implementation Of Dual Rail Half Adder Based on Level Sensitive Scan Cell Design

Design for Testability Implementation Of Dual Rail Half Adder Based on Level Sensitive Scan Cell Design Design for Testability Implementation Of Dual Rail Half Adder Based on Level Sensitive Scan Cell Design M.S.Kavitha 1 1 Department Of ECE, Srinivasan Engineering College Abstract Design for testability

More information

A New and Accurate Interconnection Delay Time Evaluation in a general Tree Type Network.

A New and Accurate Interconnection Delay Time Evaluation in a general Tree Type Network. A New and Accurate Interconnection Delay Time Evaluation in a general Tree Type Network. D. DESCHACHT, C. DABRIN Laboratoire d Informatique, de Robotique et de Microélectronique UMR CNRS 998 Université

More information

Test Automation - Automatic Test Generation Technology and Its Applications

Test Automation - Automatic Test Generation Technology and Its Applications Test Automation - Automatic Test Generation Technology and Its Applications 1. Introduction Kwang-Ting (Tim) Cheng and Angela Krstic Department of Electrical and Computer Engineering University of California

More information

Lecture 8: Memory Peripherals

Lecture 8: Memory Peripherals Digital Integrated Circuits (83-313) Lecture 8: Memory Peripherals Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 20 May 2017 Disclaimer: This course was prepared, in its

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

Single Channel Protector in an SOT-23 Package ADG465

Single Channel Protector in an SOT-23 Package ADG465 a Single Channel Protector in an SOT-23 Package FEATURES Fault and Overvoltage Protection up to 40 V Signal Paths Open Circuit with Power Off Signal Path Resistance of R ON with Power On 44 V Supply Maximum

More information

Layout-Oriented Synthesis of High Performance Analog Circuits

Layout-Oriented Synthesis of High Performance Analog Circuits -Oriented Synthesis of High Performance Analog Circuits Mohamed Dessouky, Marie-Minerve Louërat Université Paris VI (55/65) Laboratoire LIP6-ASIM 4 Place Jussieu. 75252 Paris Cedex 05. France Mohamed.Dessouky@lip6.fr

More information

Figure 1.1: Quanser Driving Simulator

Figure 1.1: Quanser Driving Simulator 1 INTRODUCTION The Quanser HIL Driving Simulator (QDS) is a modular and expandable LabVIEW model of a car driving on a closed track. The model is intended as a platform for the development, implementation

More information

DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER

DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER 12 JAVA Journal of Electrical and Electronics Engineering, Vol. 1, No. 1, April 2003 DESIGN OF HIGH FREQUENCY CMOS FRACTIONAL-N FREQUENCY DIVIDER Totok Mujiono Dept. of Electrical Engineering, FTI ITS

More information

A Scan Shifting Method based on Clock Gating of Multiple Groups for Low Power Scan Testing

A Scan Shifting Method based on Clock Gating of Multiple Groups for Low Power Scan Testing A Scan Shifting Meod based on Clock Gating of Multiple Groups for Low Power Scan Testing Sungyoul Seo 1, Yong Lee 1, Joohwan Lee 2, Sungho Kang 1 1 Department of Electrical and Electronic Engineering,

More information

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 Asst. Professsor, Anurag group of institutions 2,3,4 UG scholar,

More information

Game Architecture. Rabin is a good overview of everything to do with Games A lot of these slides come from the 1 st edition CS

Game Architecture. Rabin is a good overview of everything to do with Games A lot of these slides come from the 1 st edition CS Game Architecture Rabin is a good overview of everything to do with Games A lot of these slides come from the 1 st edition CS 4455 1 Game Architecture The code for modern games is highly complex Code bases

More information

Power-conscious High Level Synthesis Using Loop Folding

Power-conscious High Level Synthesis Using Loop Folding Power-conscious High Level Synthesis Using Loop Folding Daehong Kim Kiyoung Choi School of Electrical Engineering Seoul National University, Seoul, Korea, 151-742 E-mail: daehong@poppy.snu.ac.kr Abstract

More information

Power-Safe Test Application Using An Effective Gating Approach Considering Current Limits

Power-Safe Test Application Using An Effective Gating Approach Considering Current Limits 9th IEEE VLSI Test Symposium Power-Safe Test Application Using An Effective Gating Approach Considering Current Limits Wei Zhao, Mohammad Tehranipoor, and Sreejit Chakravarty ECE Department, University

More information

Logic Rewiring for Delay and Power Minimization *

Logic Rewiring for Delay and Power Minimization * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 20, 1-XXX (2004) Short Paper Logic Rewiring for Delay and Power Minimization * Department of Electrical and Computer Engineering and Department of Computer

More information

A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems. Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University

A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems. Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University Outline of Presentation Need for Test & Overview of BIST

More information