X-Canceling MISR - New Approach for X-Tolerant Output Compaction

Size: px
Start display at page:

Download "X-Canceling MISR - New Approach for X-Tolerant Output Compaction"

Transcription

1 X-Canceling MISR - New Approach for X-Tolerant Output Compaction Nur A. Touba Computer Engineering Research Center Dept. of Electrical and Computer Engineering University of Texas at Austin

2 INTRODUCTION Many Sources of Unknown X s in Output Response Uninitialized Memory Elements Bus Contention Floating Tri-States Multi-cycle Paths Etc. Scan Chains X X Conventional Scan Testing Easy to Handle by Masking on Tester X Major Issue for Test Compression and Logic BIST X s Corrupt Final Signature Prevents Observation of Other Scan Cells

3 INTRODUCTION Many Sources of Unknown X s in Output Response Uninitialized Memory Elements Bus Contention Floating Tri-States Multi-cycle Paths Etc. Conventional Scan Testing Easy to Handle by Masking on Tester Scan Chains X X X M I S R Major Issue for Test Compression and Logic BIST X s Corrupt Final Signature Prevents Observation of Other Scan Cells

4 HANDLING X s Schemes for Handling X s X-Bounding Insert DFT to Prevent X s from Propagating to Output X-Masking Mask X s at Input to Compactor Mask Data Required Scan Chain & X-Tolerant Compactor Scan Chain & Scan Chain & M I S R Mask Data

5 X-COMPACT Combinational Compactor [Mitra & Kim, TCAD 4] Tolerates One X per Scan Slice Detects, 2, or Any Odd Errors Corrupted Outputs Masked on Tester Scan Chain Scan Chain Comb. Compactor Scan Chain

6 CONVOLUTIONAL COMPACTOR Convolutional Compactor [Rajski, et al., TCAD 5] Combinational Compactors Feeding Multiple Shift Registers Tradeoff Compaction Ratio with Number of X s Tolerated in Window of Scan Slices Scan Chain Scan Chain Finite Memory Compactor Scan Chain

7 X-CANCELING MISR X-Tolerant MISR Based on Canceling Out X s in Signature Using Information from Symbolic Simulation For m-bit MISR Each Signature Can Compact Up to k X s with Error Coverage Equivalent to m-k Bit MISR without X s Example 256-Bit MISR Can Tolerate up 24 X s Error Coverage Equivalent to 6 Bit MISR without X s

8 M O 3 X 3 X M2 O 4 O 8 O 2 M 3 O 5 O 9 O 3 M 4 O 6 O X 2 M 5 O 7 O O 5 M 6 X 4 O 2 O 6

9 M = X O 3 X 3 M2 = O2 O 4 O 8 M 3 = O 3 O 5 O 9 M 4 = X 2 O 6 O M 5 = O 5 O 7 O M 6 = O 6 X 4 O 2

10 O 3 M = O 2 X 3 O 4 M 2 = O 3 X O 8 O 5 M 3 = X X 2 O 9 O 6 M 4 = O 5 O O 7 M 5 = O 6 X O X 4 M 6 = X O 2

11 M = X O 3 O 8 O 3 M 2 = X O 2 X 2 X 3 O 9 O 4 M 3 = O 2 O 5 X 3 O O 5 M 4 = X O 6 O O 6 M 5 = X O 2 X 3 O 2 O 7 M 6 = O 2 X 3 X 4

12 M = X O 3 O 8 O 3 O 3 X 3 X M2 = X O2 X2 X3 O9 O4 O 4 O 8 O 2 M 3 = O 2 O 5 X 3 O O 5 O 5 O 9 O 3 M 4 = X O 6 O O 6 O 6 O X 2 M 5 = X O 2 X 3 O 2 O 7 O 7 O O 5 M 6 = O 2 X 3 X 4 X 4 O 2 O 6

13 M = X X 3 X M2 = X X2 X3 M 3 = X 3 M 4 = X 2 4 = 6 Valid Signatures in Fault-Free Circuit X 2 M 5 = X X 3 M 6 = X 3 X 4 X 4

14 ALIASING WHEN COMPACTING X s Probability Error Results in Valid Fault-Free Signature Valid Fault-Free Signatures Total Possible Signatures = 2 2 (Number X s) (MISR Bits) Example 256 Bit MISR Compacting 236 X s Probability of Aliasing = = 2 2 Equivalent to Using a 2-Bit MISR without X s

15 LINEARLY DEPENDENT COMBINATIONS Linearly Dependent Combinations of MISR Bits No Dependence on X s Guaranteed to Exist When More MISR Bits Than X s M M M 2 M 3 M 3 M 3 M 6 M M 3 M 5 M M 4 M 3 = X 3 M 4 = X M 5 = X X 3 M 6 = X 3 X 4 M 2 = X X 2 X 3 M = X Gaussian Elimination

16 M M M 2 M 3 M 3 M 3 M 6 M M 3 M 5 = O 3 O 5 O 8 O O 2 O 3 O 5 O 7 M M 4 M 3 = O 2 O 5 X 3 O O 5 M 5 = X O 2 X 3 O 2 O 7 M = X O 3 O 8 O 3 M M 3 M 5

17 M M M 2 M 3 M 3 M 3 M 6 M M 3 M 5 M M 4 = O 3 O 6 O 8 O O 3 O 6 M = X O 3 O 8 O 3 M M 4 M 4 = X O 6 O O 6

18 ERROR COVERAGE Error Coverage = (Checked Combs) X s Tolerated Per Signature = MISR Bits Checked Combs % 75% 87.5% 93.75% 96.88% 98.44% 99.2% 99.6% 99.8% 99.9% 99.95% 99.97% 99.99% % % %

19 ARCHITECTURE m-bit Scan Chain & Scan Chain Phase Shifter M I S R & XOR X-Free M I S R Scan Chain & m-bit Selection

20 m-bit Scan Chain & b Decompressor Scan Chain Phase Shifter M I S R & XOR X-Free M I S R Scan Chain & Cycles = mq b Total X s Num. Signatures = m q b b Selection Reg. Interval Count

21 Num. Signatures = ANALYSIS Total X s m q Total Data = (mq)(num. Signatures) = If q << m Total Data (q)(total X s) (mq)(total X s) m q q Coverage 5% 75% 87.5% 93.75% 96.88% 98.44% 99.2% 99.6% 99.8% 99.9% 99.95% 99.97% 99.99% % % %

22 ANALYSIS Total Data (q)(total X s) Tester Storage Independent of Design Size Scan Architecture Number Scan Chains Scan Length Scan Cells Number Test Vectors Error Coverage Independent of Distribution of X s q Coverage 5% 75% 87.5% 93.75% 96.88% 98.44% 99.2% 99.6% 99.8% 99.9% 99.95% 99.97% 99.99% % % %

23 COMPARISON X-Compact [Mitra & Kim, TCAD 4] Combinational Compactor Tester Channels log 2 (Scan Chains) Tester Storage = 2 (Num. Slices) log 2 (Scan Chains) = 2 (Num. Vectors) (Scan Length) log 2 (Scan Chains) Error Coverage Tolerates One X per Scan Slice Detects, 2, and Odd Errors per Scan Slice

24 794x 794x 39x 39x 79x 43x 3 2.5%.% % 7.9x 7.9x 3.9x 3.9x 2.7x.6x 6 5.%.3% 6 8 % 5.9x 5.9x 27.8x 27.8x 4.6x 2.7x 7 6.%.3% % 79x 79x 39x 39x 2.8x 7.x 9.8%.2% % 59x 59x 278x 278x 23.3x 2.8x.8%.2% % q = % Cov. q = % Cov. Comp. Ratio Outputs Chains X-Canceling MISR X-Compact Slices w/more than One X Scan % X s COMPARISON

25 FAULT COVERAGE 99.4% 99.95% % 99.2% 99.8% 99.97% s % 99.9% % 99.2% 99.8% 99.97% s % 99.9% % 99.2% 99.8% 99.95% 7 9 s % 99.8% % 99.2% 99.8% 99.95% 7 9 s327 Fault Coverage Error Coverage X-Canceled Combinations (q) Circuit

26 CONTINUOUS SCAN SHIFTING m-bit m-bit Scan Chain & Decompressor Scan Chain Phase Shifter M I S R S H A D O W & XOR Scan Chain & Selection Reg.

27 794x 794x 39x 39x 79x 43x 3 2.5%.% % 7.9x 7.9x 3.9x 3.9x 2.7x.6x 6 5.%.3% 6 8 % 5.9x 5.9x 27.8x 27.8x 4.6x 2.7x 7 6.%.3% % 79x 79x 39x 39x 2.8x 7.x 9.8%.2% % 59x 59x 278x 278x 23.3x 2.8x.8%.2% % q = % Cov. q = % Cov. Comp. Ratio Outputs Chains X-Canceling MISR X-Compact Slices w/more than One X Scan % X s COMPARISON

28 For a Particular Pattern i CIRCUIT D D D D s = Minimal Set of Scan Cells for which Observation Detects All Faults Probabilistic Observation High Coverage of All Scan Cells Deterministic Targeting of D s Focus on Detecting D s

29 M O 3 X 3 X M2 O 4 D 8 O 2 M 3 O 5 O 9 O 3 M 4 D 6 O X 2 M 5 O 7 O O 5 M 6 X 4 O 2 O 6

30 M = X O 3 X 3 M2 = O2 O 4 D 8 M 3 = O 3 O 5 O 9 M 4 = X 2 D 6 O M 5 = O 5 O 7 O M 6 = O 6 X 4 O 2

31 O 3 M = O 2 X 3 O 4 M 2 = O 3 X D 8 O 5 M 3 = X X 2 O 9 D 6 M 4 = O 5 O O 7 M 5 = O 6 X O X 4 M 6 = X O 2

32 M = X O 3 D 8 O 3 M 2 = X O 2 X 2 X 3 O 9 O 4 M 3 = O 2 O 5 X 3 O O 5 M 4 = X O 6 O D 6 M 5 = X O 2 X 3 O 2 O 7 M 6 = O 2 X 3 X 4

33 M = X O 3 D 8 O 3 O 3 X 3 X M2 = X O2 X2 X3 O9 O4 O 4 D 8 O 2 M 3 = O 2 O 5 X 3 O O 5 O 5 O 9 O 3 M 4 = X O 6 O D 6 D 6 O X 2 M 5 = X O 2 X 3 O 2 O 7 O 7 O O 5 M 6 = O 2 X 3 X 4 X 4 O 2 O 6

34 X 3 X M = X D 8 M2 = X X2 X3 D 8 M 3 = X 3 M 4 = X D 8 D 6 X 2 M 5 = X X 3 M 6 = X 3 X 4 X 4

35 MISR BIT COMBINATIONS Linearly Dependent Combinations of MISR Bits No Dependence on X s Guaranteed to Exist When More MISR Bits Than X s X X 2 X 3 X 4 D 8 D 6 X X 2 X 3 X 4 D 8 D 6 M = X D 8 M 3 M 5 M 2 = X X 2 X 3 M 3 = X 3 Gaussian Elimination M 2 M 5 M 3 M 4 = X D 6 M 3 M 6 M 5 = X X 3 M M 3 M 5 M 6 = X 3 X 4 M 3 M 4 M 5

36 M M4 M 3 = O 2 X 3 O 5 O O 5 M 4 = X O 6 O O 6 M 5 = X O 2 X 3 O 2 O 7 M 3 M 5 M 2 M 5 M 3 M 3 M 6 M M 3 M 5 = M M 4 = O 3 O 6 D 8 O O 3 D 6 M 3 M 4 M 5

37 ALIASING Checking Only One Combination that Depends on All D s Odd Number of D s Have Errors NO PROBLEM (Error Detected) Even Number of D s Have Errors PROBLEM (All Errors Cancel Out) Solutions Check Multiple Combinations Rather than Just One Use Multiple MISR Design

38 COMPARISON m bit MISR VS m/k bit MISR m/k bit MISR m/k bit MISR Characteristics Multiple Combinations Multiple MISR Number of MISR bit Combinations Checked k combinations from one m bit MISR combination each from k m/k bit MISRs Storage Requirements k*m = km bits k*(m/k) = m bits Error Coverage (-2 -k ) (-2 -k ) * * If D s evenly distributed between MISRs

39 MULTIPLE VS SINGLE MISR Assuming All Error Combinations Equally Likely: Combinations Checked (k)( Error Coverage Bits on Tester for m-bit MISR Bits on Tester for (m/k)-bit( MISRs 5% m m 2 75% 2m m % 3m m % 4m m Issues with Multiple MISRs X s and D s Not Evenly Distributed One of (m/k) Bit MISR Gets Saturated Earlier Multiple MISR Error Coverage Lower than Single MISR

40 DESIGN EXAMPLE m bits on tester m B I T M I S R Checking combination Error Coverage 5%

41 DESIGN EXAMPLE m bits on tester m bits on tester m m/2 B I T M I S R Checking combination bit MISR m/2 bit MISR Checking 2 combinations ( from each) Error Coverage 75% Sensitive to X & D Distribution Error Coverage 5%

42 DESIGN EXAMPLE m B I T M I S R m bits on tester Checking combination Error Coverage 5% SCAN CHAIN SCAN CHAIN 2 SCAN CHAIN 3 SCAN CHAIN 4 SCAN CHAIN 5 SCAN CHAIN 6 SCAN CHAIN 7 SCAN CHAIN 8 SCAN CHAIN SCAN CHAIN 3 SCAN CHAIN 5 SCAN CHAIN 7 SCAN CHAIN 2 SCAN CHAIN 4 SCAN CHAIN 6 SCAN CHAIN 8 m/2 bit MISR m/2 bit MISR m/2 bit MISR m/2 bit MISR Checking 2 combinations ( from each) OR Checking 2 combinations ( from each) m bits on tester ONE selection bit Error Coverage 75% Sensitivity to X & D Distribution REDUCED

43 DESIGN EXAMPLE m m bits on tester m/4 m/4 m/4 m/4 m/4 m/4 Checking 4 combinations ( from each) m6 bits on tester THREE selection bits B I T M I S R Checking combination Error Coverage 5% m/4 m/4 m/4 m/4 m/4 m/4 m/4 m/4 OR Checking 4 combinations ( from each) Error Coverage 93.75% Sensitivity to X & D Distribution FURTHER REDUCED m/4 m/4

44 DESIGN EXAMPLE 64 B I T M I S R 52 bits on tester Checking 8 combinations Error Coverage 99.6% 6 bit 6 bit 6 bit 6 bit 6 bit 6 bit 6 bit 6 bit 6 bit 6 bit 6 bit 6 bit 6 bit 6 bit 6 bit 6 bit Checking 8 combinations (2 from each) OR Checking 8 combinations (2 from each) 4*(663) 4 bits on tester Error Coverage 99.6% Sensitivity to X & D Distribution FURTHER REDUCED

45 COMPARISON Probabilistic Observation Deterministically Targeting D s MISR Requirement 64-bit MISR 6 6-bit MISRs Dependence on X s YES YES Dependence on D s NO YES X s/d s Tolerated Combinations checked 8 (99.6% error cov.) 8 (99.6% error cov.) Bits on Tester 64*8 = 52 4*(663) = 4 # bits 52/56 = 9.2 per X 4/56 = 2.5 per X or D

46 COMPRESSION ACHIEVED % of X's % of D's % 2% 4% 6% % 2.3x 4.5x 9.x 6.6x 3%.x 9.x 6.6x 5.3x 5% 7.6x 6.6x 5.3x 4.5x 8% 5.3x 4.8x 4.2x 3.7x % 4.5x 4.2x 3.7x 3.3x

47 COMBINING WITH X-MASKING Combining X-Canceling with X-Masking Do Not Need to Mask All X s Target Only Easy-to-Mask X s Do Not Need to Mask All Scan Chains or Scan Slices Containing X s If Masking X Blocks Observation of Fault Let X Pass Through to X-Canceling MISR

48 CONCLUSIONS Tester Storage Requirements O(Total X s) Independent of Scan Architecture Error Coverage Arbitrarily High Independent of Distribution of X s Area Overhead Linear in Size of MISR For X-Bounding Can Use as Safety Feature Handle Unexpected X s

SCAN TEST is a well-established design-for-testability

SCAN TEST is a well-established design-for-testability IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 31, NO. 9, SEPTEMBER 2012 1417 X-Canceling MISR Architectures for Output Response Compaction With Unknown Values Joon-Sung

More information

On Determining the Real Output Xs by SAT-Based Reasoning

On Determining the Real Output Xs by SAT-Based Reasoning On Determining the Real Output s by SAT-Based Reasoning Melanie Elm, Michael A. Kochte, Hans-Joachim Wunderlich University of Stuttgart Institute of Computer Architecture and Computer Engineering Pfaffenwaldring

More information

Using Statistical Transformations to Improve Compression for Linear Decompressors

Using Statistical Transformations to Improve Compression for Linear Decompressors Using Statistical Transformations to Improve Compression for Linear Decompressors Samuel I. Ward IBM Systems &Technology Group 11400 Burnet RD Austin TX 78758 E-mail: siward@us.ibm.com Chris Schattauer,

More information

FAST-BIST: Faster-than-At-Speed BIST Targeting Hidden Delay Defects

FAST-BIST: Faster-than-At-Speed BIST Targeting Hidden Delay Defects FAST-BIST: Faster-than-At-Speed BIST Targeting Hidden Delay Defects Hellebrand, Sybille; Indlekofer, Thomas; Kampmann, Matthias; Kochte, Michael A.; Liu, Chang; Wunderlich, Hans-Joachim Proceedings of

More information

Testing Digital Systems II. Problem: Fault Diagnosis

Testing Digital Systems II. Problem: Fault Diagnosis Testing Digital Systems II Lecture : Logic Diagnosis Instructor: M. Tahoori Copyright 26, M. Tahoori TDSII: Lecture Problem: Fault Diagnosis test patterns Circuit Under Diagnosis (CUD) expected response

More information

X-Masking During Logic BIST and Its Impact on Defect Coverage

X-Masking During Logic BIST and Its Impact on Defect Coverage X-Masking During Logic BIST and Its Impact on Defect Coverage Yuyi Tang Hans-Joachim Wunderlich Institute of Computer Architecture and Computer Engineering, University of Stuttgart Pfaffenwaldring 47,

More information

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Abstract Pseudo-exhaustive pattern generators for built-in self-test (BIST) provide high fault

More information

A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator

A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator Vol.2, Issue.3, May-June 22 pp-676-681 ISSN 2249-6645 A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator K. Nivitha 1, Anita Titus 2 1 ME-VLSI Design 2 Dept of

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Digital Integrated CircuitDesign

Digital Integrated CircuitDesign Digital Integrated CircuitDesign Lecture 13 Building Blocks (Multipliers) Register Adder Shift Register Adib Abrishamifar EE Department IUST Acknowledgement This lecture note has been summarized and categorized

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability?

Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability? VLS esign esign for Test esign For Test What can we do to increase ability? He s dead Jim... Overview design for architectures ad-hoc, scan based, built-in in Goal: You are familiar with ability metrics

More information

X-Masking During Logic BIST and Its Impact on Defect Coverage

X-Masking During Logic BIST and Its Impact on Defect Coverage X-Masking During Logic BIST and Its Impact on Defect Coverage Yuyi Tang Hans-Joachim Wunderlich Institute of Computer Architecture and Computer Engineering, University of Stuttgart Pfaffenwaldring 47,

More information

A Scan Shifting Method based on Clock Gating of Multiple Groups for Low Power Scan Testing

A Scan Shifting Method based on Clock Gating of Multiple Groups for Low Power Scan Testing A Scan Shifting Meod based on Clock Gating of Multiple Groups for Low Power Scan Testing Sungyoul Seo 1, Yong Lee 1, Joohwan Lee 2, Sungho Kang 1 1 Department of Electrical and Electronic Engineering,

More information

IN THE modern integrated circuit (IC) industry, threedimensional

IN THE modern integrated circuit (IC) industry, threedimensional 458 IEEE TRANSACTIONS ON RELIABILITY, VOL. 66, NO. 2, JUNE 2017 R 2 -TSV: A Repairable and Reliable TSV Set Structure Reutilizing Redundancies Jaeseok Park, Minho Cheong, and Sungho Kang, Senior Member,

More information

Design for Testability & Design for Debug

Design for Testability & Design for Debug EE-382M VLSI II Design for Testability & Design for Debug Bob Molyneaux Mark McDermott Anil Sabbavarapu EE 382M Class Notes Foil # 1 The University of Texas at Austin Agenda Why test? Scan: What is it?

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Synthesis of Non-Intrusive Concurrent Error Detection Using an Even Error Detecting Function

Synthesis of Non-Intrusive Concurrent Error Detection Using an Even Error Detecting Function Synthesis of Non-Intrusive Concurrent Error Detection Using an Even Error Detecting Function Avijit Dutta and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

BILBO-friendly Hybrid BIST Architecture with Asymmetric Polynomial Reseeding

BILBO-friendly Hybrid BIST Architecture with Asymmetric Polynomial Reseeding The 16th CSI International Symposium on Computer Architecture and Digital Systems (CADS 2012) BILBO-friendly Hybrid BIST Architecture with Asymmetric Polynomial Reseeding and el_sadredini@comp.iust.ac.ir,

More information

TESTING today s system-on-chip (SoC) circuits is a challenge

TESTING today s system-on-chip (SoC) circuits is a challenge IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 13, NO. 6, JUNE 2005 719 Nine-Coded Compression Technique for Testing Embedded Cores in SoCs Mohammad Tehranipoor, Member, IEEE, Mehrdad

More information

Author's personal copy

Author's personal copy J Electron Test (2016) 32:209 225 DOI 10.1007/s10836-016-5576-2 Applications of Mixed-Signal Technology in Digital Testing Baohu Li 1,2 Vishwani D. Agrawal 1 Received: 20 November 2015 / Accepted: 23 February

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

System-On-a-Chip Test Data Compression and Decompression with Reconfigurable Serial Multiplier

System-On-a-Chip Test Data Compression and Decompression with Reconfigurable Serial Multiplier System-On-a-Chip Test Data Compression and Decompression with Reconfigurable Serial Multiplier S.Sivanantham *, Padmavathy M #, Divyanga S #, Anitha Lincy P V # ASIC Design Laboratory, School of Electronics

More information

Synthesis of Low Power CED Circuits Based on Parity Codes

Synthesis of Low Power CED Circuits Based on Parity Codes Synthesis of Low CED Circuits Based on Parity Codes Shalini Ghosh 1, Sugato Basu 2, and Nur A. Touba 1 1 Dept. of Electrical and Computer Engineering, University of Texas, Austin, TX 78712 {shalini,touba}@ece.utexas.edu

More information

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

Frugal Sensing Spectral Analysis from Power Inequalities

Frugal Sensing Spectral Analysis from Power Inequalities Frugal Sensing Spectral Analysis from Power Inequalities Nikos Sidiropoulos Joint work with Omar Mehanna IEEE SPAWC 2013 Plenary, June 17, 2013, Darmstadt, Germany Wideband Spectrum Sensing (for CR/DSM)

More information

UNEXPECTED through-silicon-via (TSV) defects may occur

UNEXPECTED through-silicon-via (TSV) defects may occur IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 10, OCTOBER 2017 1759 Grouping-Based TSV Test Architecture for Resistive Open and Bridge Defects in 3-D-ICs Young-woo

More information

Built-In Self-Test: Milestones and Challenges

Built-In Self-Test: Milestones and Challenges VLSI Design 1993, Vol. 1, No. 1, pp. 23-44 Reprints available directly from the publisher Photocopying permitted by license only (C) 1993 Gordon and Breach Science Publishers S.A. Printed in the United

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

Error Detection and Correction: Parity Check Code; Bounds Based on Hamming Distance

Error Detection and Correction: Parity Check Code; Bounds Based on Hamming Distance Error Detection and Correction: Parity Check Code; Bounds Based on Hamming Distance Greg Plaxton Theory in Programming Practice, Spring 2005 Department of Computer Science University of Texas at Austin

More information

Design of BIST using Self-Checking Circuits for Multipliers

Design of BIST using Self-Checking Circuits for Multipliers Indian Journal of Science and Technology, Vol 8(19), DOI: 10.17485/ijst/2015/v8i19/77006, August 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Design of BIST using Self-Checking Circuits for

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

Exploring the Basics of AC Scan

Exploring the Basics of AC Scan Page 1 of 8 Exploring the Basics of AC Scan by Alfred L. Crouch, Inovys This in-depth discussion of scan-based testing explores the benefits, implementation, and possible problems of AC scan. Today s large,

More information

Towards 100% Testable FI Digital Filters

Towards 100% Testable FI Digital Filters Towards 100% Testable FI Digital Filters Laurence Goodby+ Alex Orailo$jld +Dept. of Electrical & Computer Engineering $Dept. of Computer Science & Engineering University of California, San Diego La olla,

More information

A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems. Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University

A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems. Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University Outline of Presentation Need for Test & Overview of BIST

More information

Sampling and Reconstruction

Sampling and Reconstruction Sampling and Reconstruction Peter Rautek, Eduard Gröller, Thomas Theußl Institute of Computer Graphics and Algorithms Vienna University of Technology Motivation Theory and practice of sampling and reconstruction

More information

Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal. Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng.

Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal. Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng. An Ecient Path Delay Fault Coverage Estimator Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng. AT&T Bell Labs Rutgers University

More information

Test Automation - Automatic Test Generation Technology and Its Applications

Test Automation - Automatic Test Generation Technology and Its Applications Test Automation - Automatic Test Generation Technology and Its Applications 1. Introduction Kwang-Ting (Tim) Cheng and Angela Krstic Department of Electrical and Computer Engineering University of California

More information

Multiple Transition Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity

Multiple Transition Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity Multiple Model and Enhanced Boundary Scan Architecture to Test Interconnects for Signal Integrity M. H. Tehranipour, N. Ahmed, M. Nourani Center for Integrated Circuits & Systems The University of Texas

More information

A Generic and Reconfigurable Test Paradigm using Low-Cost Integrated Poly-Si TFTs

A Generic and Reconfigurable Test Paradigm using Low-Cost Integrated Poly-Si TFTs A Generic and Reconfigurable Test Paradigm using Low-Cost Integrated Poly-Si TFTs Jing Li, Swaroop Ghosh and Kaushik Roy Electrical and Computer Engineering, Purdue University, West Lafayette, IN4796 Abstract

More information

2D Discrete Fourier Transform

2D Discrete Fourier Transform 2D Discrete Fourier Transform In these lecture notes the figures have been removed for copyright reasons. References to figures are given instead, please check the figures yourself as given in the course

More information

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a

In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a 118 CHAPTER 6 Mixed Signal Integrated Circuits Testing - A Study 6.0 Introduction In the previous chapters, efficient and new methods and algorithms have been presented in analog fault diagnosis. Also

More information

Evaluating the Digital Fault Coverage for a Mixed-Signal Built-In Self-Test. Michael Alexander Lusco

Evaluating the Digital Fault Coverage for a Mixed-Signal Built-In Self-Test. Michael Alexander Lusco Evaluating the Digital Fault Coverage for a Mixed-Signal Built-In Self-Test by Michael Alexander Lusco A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements

More information

SPIRO SOLUTIONS PVT LTD

SPIRO SOLUTIONS PVT LTD VLSI S.NO PROJECT CODE TITLE YEAR ANALOG AMS(TANNER EDA) 01 ITVL01 20-Mb/s GFSK Modulator Based on 3.6-GHz Hybrid PLL With 3-b DCO Nonlinearity Calibration and Independent Delay Mismatch Control 02 ITVL02

More information

This webinar brought to you by The Relion Product Family Next Generation Protection and Control IEDs from ABB

This webinar brought to you by The Relion Product Family Next Generation Protection and Control IEDs from ABB This webinar brought to you by The Relion Product Family Next Generation Protection and Control IEDs from ABB Relion. Thinking beyond the box. Designed to seamlessly consolidate functions, Relion relays

More information

Run-Length Based Huffman Coding

Run-Length Based Huffman Coding Chapter 5 Run-Length Based Huffman Coding This chapter presents a multistage encoding technique to reduce the test data volume and test power in scan-based test applications. We have proposed a statistical

More information

Implementation of Memory Less Based Low-Complexity CODECS

Implementation of Memory Less Based Low-Complexity CODECS Implementation of Memory Less Based Low-Complexity CODECS K.Vijayalakshmi, I.V.G Manohar & L. Srinivas Department of Electronics and Communication Engineering, Nalanda Institute Of Engineering And Technology,

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

OFDM and FFT. Cairo University Faculty of Engineering Department of Electronics and Electrical Communications Dr. Karim Ossama Abbas Fall 2010

OFDM and FFT. Cairo University Faculty of Engineering Department of Electronics and Electrical Communications Dr. Karim Ossama Abbas Fall 2010 OFDM and FFT Cairo University Faculty of Engineering Department of Electronics and Electrical Communications Dr. Karim Ossama Abbas Fall 2010 Contents OFDM and wideband communication in time and frequency

More information

MICROFLUIDICS lab-on-chip technology has made

MICROFLUIDICS lab-on-chip technology has made 250 IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, VOL. 4, NO. 4, AUGUST 2010 Digital Microfluidic Logic Gates and Their Application to Built-in Self-Test of Lab-on-Chip Yang Zhao, Student Member,

More information

Digital Testing with Multi-Valued Logic Signals. Baohu Li

Digital Testing with Multi-Valued Logic Signals. Baohu Li Digital Testing with Multi-Valued Logic Signals by Baohu Li A dissertation submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of Doctor of

More information

DESIGN AND TEST OF CONCURRENT BIST ARCHITECTURE

DESIGN AND TEST OF CONCURRENT BIST ARCHITECTURE Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 7, July 2015, pg.21

More information

Single Error Correcting Codes (SECC) 6.02 Spring 2011 Lecture #9. Checking the parity. Using the Syndrome to Correct Errors

Single Error Correcting Codes (SECC) 6.02 Spring 2011 Lecture #9. Checking the parity. Using the Syndrome to Correct Errors Single Error Correcting Codes (SECC) Basic idea: Use multiple parity bits, each covering a subset of the data bits. No two message bits belong to exactly the same subsets, so a single error will generate

More information

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng.

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng. MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng., UCLA - http://nanocad.ee.ucla.edu/ 1 Outline Introduction

More information

Analysis of Test Application Time for Test Data Compression Methods Based on Compression Codes

Analysis of Test Application Time for Test Data Compression Methods Based on Compression Codes JOURNAL OF ELECTRONIC TESTING: Theory and Applications 20, 199 212, 2004 c 2004 Kluwer Academic Publishers. Manufactured in The United States. Analysis of Test Application Time for Test Data Compression

More information

LDPC Communication Project

LDPC Communication Project Communication Project Implementation and Analysis of codes over BEC Bar-Ilan university, school of engineering Chen Koker and Maytal Toledano Outline Definitions of Channel and Codes. Introduction to.

More information

Digital Signal Processing

Digital Signal Processing COMP ENG 4TL4: Digital Signal Processing Notes for Lecture #29 Wednesday, November 19, 2003 Correlation-based methods of spectral estimation: In the periodogram methods of spectral estimation, a direct

More information

VLSI testing Introduction

VLSI testing Introduction VLSI testing Introduction Virendra Singh Associate Professor Computer Architecture and Dependable Systems Lab Dept. of Electrical Engineering Indian Institute of Technology Bombay, Mumbai viren@ee.iitb.ac.in

More information

DIGITAL ELECTRONICS. Methods & diagrams : 1 Graph plotting : - Tables & analysis : - Questions & discussion : 6 Performance : 3

DIGITAL ELECTRONICS. Methods & diagrams : 1 Graph plotting : - Tables & analysis : - Questions & discussion : 6 Performance : 3 DIGITAL ELECTRONICS Marking scheme : Methods & diagrams : 1 Graph plotting : - Tables & analysis : - Questions & discussion : 6 Performance : 3 Aim: This experiment will investigate the function of the

More information

Signal Processing. Naureen Ghani. December 9, 2017

Signal Processing. Naureen Ghani. December 9, 2017 Signal Processing Naureen Ghani December 9, 27 Introduction Signal processing is used to enhance signal components in noisy measurements. It is especially important in analyzing time-series data in neuroscience.

More information

Basics of Using the NetTek YBA250

Basics of Using the NetTek YBA250 Basics of Using the NetTek YBA250 Properly Test Antennae and Locate Faults Use the NetTek YBA250 for determining the health of base station antenna systems, identifying transmission line trouble, and easily

More information

Solutions to Information Theory Exercise Problems 5 8

Solutions to Information Theory Exercise Problems 5 8 Solutions to Information Theory Exercise roblems 5 8 Exercise 5 a) n error-correcting 7/4) Hamming code combines four data bits b 3, b 5, b 6, b 7 with three error-correcting bits: b 1 = b 3 b 5 b 7, b

More information

Design of a Power Optimal Reversible FIR Filter ASIC Speech Signal Processing

Design of a Power Optimal Reversible FIR Filter ASIC Speech Signal Processing Design of a Power Optimal Reversible FIR Filter ASIC Speech Signal Processing Yelle Harika M.Tech, Joginpally B.R.Engineering College. P.N.V.M.Sastry M.S(ECE)(A.U), M.Tech(ECE), (Ph.D)ECE(JNTUH), PG DIP

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

Delay Testing of SO1 Circuits: Challenges with the History Effect

Delay Testing of SO1 Circuits: Challenges with the History Effect Delay Testing of SO1 Circuits: Challenges with the History Effect Eric MacDonald Advanced PowerPC Development 11400 Burnet Road MAD 4354 BM, Austin, TX 78712 Nur A. Touba Computer Engineering

More information

PROPOSED SCHEME OF COURSE WORK

PROPOSED SCHEME OF COURSE WORK PROPOSED SCHEME OF COURSE WORK Course Details: Course Title : Digital System Design Course Code :15EC1110 L T P C : 4 0 0 3 Program: : B.Tech. Specialization: : Electrical and Electronics Engineering Semester

More information

Datapath Testability Improvement through ad hoc Controller Modifications

Datapath Testability Improvement through ad hoc Controller Modifications Testability Improvement through ad hoc Controller Modifications M. L. Flottes, R. Pires, B. Rouzeyre Laboratoire d'informatique, de Robotique et de Micro-électronique de Montpellier, U.M. CNRS 5506 161

More information

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Ananda S.Paymode.Dnyaneshwar K.Padol. Santosh B.Lukare. Asst. Professor, Dept. of E & TC, LGNSCOE,Nashik,UO Pune, MaharashtraIndia

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

A Problem in Real-Time Data Compression: Sunil Ashtaputre. Jo Perry. and. Carla Savage. Center for Communications and Signal Processing

A Problem in Real-Time Data Compression: Sunil Ashtaputre. Jo Perry. and. Carla Savage. Center for Communications and Signal Processing A Problem in Real-Time Data Compression: How to Keep the Data Flowing at a Regular Rate by Sunil Ashtaputre Jo Perry and Carla Savage Center for Communications and Signal Processing Department of Computer

More information

FIR System Specification

FIR System Specification Design Automation for Digital Filters 1 FIR System Specification 1-δ 1 Amplitude f 2 Frequency response determined by coefficient quantization δ 2 SNR = 10log E f 1 2 E( yref ) ( y y ) ( ) 2 ref finite

More information

ASICs Concept to Product

ASICs Concept to Product ASICs Concept to Product Synopsis This course is aimed to provide an opportunity for the participant to acquire comprehensive technical and business insight into the ASIC world. As most of these aspects

More information

Challenges of in-circuit functional timing testing of System-on-a-Chip

Challenges of in-circuit functional timing testing of System-on-a-Chip Challenges of in-circuit functional timing testing of System-on-a-Chip David and Gregory Chudnovsky Institute for Mathematics and Advanced Supercomputing Polytechnic Institute of NYU Deep sub-micron devices

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

Nonlinear Multi-Error Correction Codes for Reliable MLC NAND Flash Memories Zhen Wang, Mark Karpovsky, Fellow, IEEE, and Ajay Joshi, Member, IEEE

Nonlinear Multi-Error Correction Codes for Reliable MLC NAND Flash Memories Zhen Wang, Mark Karpovsky, Fellow, IEEE, and Ajay Joshi, Member, IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 20, NO. 7, JULY 2012 1221 Nonlinear Multi-Error Correction Codes for Reliable MLC NAND Flash Memories Zhen Wang, Mark Karpovsky, Fellow,

More information

VU Signal and Image Processing. Torsten Möller + Hrvoje Bogunović + Raphael Sahann

VU Signal and Image Processing. Torsten Möller + Hrvoje Bogunović + Raphael Sahann 052600 VU Signal and Image Processing Torsten Möller + Hrvoje Bogunović + Raphael Sahann torsten.moeller@univie.ac.at hrvoje.bogunovic@meduniwien.ac.at raphael.sahann@univie.ac.at vda.cs.univie.ac.at/teaching/sip/17s/

More information

Simultaneous Reduction of Dynamic and Static Power in Scan Structures

Simultaneous Reduction of Dynamic and Static Power in Scan Structures Simultaneous Reduction of Dynamic and Static Power in Scan Structures Shervin Sharifi, Javid Jaffari, Mohammad Hosseinabady, Ali Afzali-Kusha, and Zainalabedin Navabi Electrical and Computer Engineering

More information

Lecture 3 Data Link Layer - Digital Data Communication Techniques

Lecture 3 Data Link Layer - Digital Data Communication Techniques DATA AND COMPUTER COMMUNICATIONS Lecture 3 Data Link Layer - Digital Data Communication Techniques Mei Yang Based on Lecture slides by William Stallings 1 ASYNCHRONOUS AND SYNCHRONOUS TRANSMISSION timing

More information

IMPULSIVE NOISE MITIGATION IN OFDM SYSTEMS USING SPARSE BAYESIAN LEARNING

IMPULSIVE NOISE MITIGATION IN OFDM SYSTEMS USING SPARSE BAYESIAN LEARNING IMPULSIVE NOISE MITIGATION IN OFDM SYSTEMS USING SPARSE BAYESIAN LEARNING Jing Lin, Marcel Nassar and Brian L. Evans Department of Electrical and Computer Engineering The University of Texas at Austin

More information

ENERGY consumption is a critical design criterion for

ENERGY consumption is a critical design criterion for Trading Accuracy for with an Underdesigned Multiplier Architecture Parag Kulkarni(paragk@ucla.edu), Puneet Gupta(puneet@ee.ucla.edu), Milos Ercegovac(milos@cs.ulca.edu) Department of Electrical Engineering,

More information

Active Decap Design Considerations for Optimal Supply Noise Reduction

Active Decap Design Considerations for Optimal Supply Noise Reduction Active Decap Design Considerations for Optimal Supply Noise Reduction Xiongfei Meng and Resve Saleh Dept. of ECE, University of British Columbia, 356 Main Mall, Vancouver, BC, V6T Z4, Canada E-mail: {xmeng,

More information

SYNCHRONIZED PHASOR MEASUREMENT TECHNIQUES. A.G. Phadke

SYNCHRONIZED PHASOR MEASUREMENT TECHNIQUES. A.G. Phadke SYNCHRONIZED PHASOR MEASUREMENT TECHNIQUES A.G. Phadke Lecture outline: Evolution of PMUs Standards Development of Phasor Measurement Units Phasor Estimation Off-nominal frequency phasors Comtrade Synchrophasor

More information

ECHO-CANCELLATION IN A SINGLE-TRANSDUCER ULTRASONIC IMAGING SYSTEM

ECHO-CANCELLATION IN A SINGLE-TRANSDUCER ULTRASONIC IMAGING SYSTEM ECHO-CANCELLATION IN A SINGLE-TRANSDUCER ULTRASONIC IMAGING SYSTEM Johan Carlson a,, Frank Sjöberg b, Nicolas Quieffin c, Ros Kiri Ing c, and Stéfan Catheline c a EISLAB, Dept. of Computer Science and

More information

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Veena S. Chakravarthi and Swaroop Ghosh Abstract Test power has emerged as an important design concern in nano-scaled

More information

An Interconnect-Centric Approach to Cyclic Shifter Design

An Interconnect-Centric Approach to Cyclic Shifter Design An Interconnect-Centric Approach to Cyclic Shifter Design Haikun Zhu, Yi Zhu C.-K. Cheng Harvey Mudd College. David M. Harris Harvey Mudd College. 1 Outline Motivation Previous Work Approaches Fanout-Splitting

More information

System-Level Test Synthesis for Mixed-Signal Designs

System-Level Test Synthesis for Mixed-Signal Designs 588 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: ANALOG AND DIGITAL SIGNAL PROCESSING, VOL. 48, NO. 6, JUNE 2001 System-Level Test Synthesis for Mixed-Signal Designs Sule Ozev and Alex Orailoglu, Member,

More information

Noise removal example. Today s topic. Digital Signal Processing. Lecture 3. Application Specific Integrated Circuits for

Noise removal example. Today s topic. Digital Signal Processing. Lecture 3. Application Specific Integrated Circuits for Application Specific Integrated Circuits for Digital Signal Processing Lecture 3 Oscar Gustafsson Applications of Digital Filters Frequency-selective digital filters Removal of noise and interfering signals

More information

An Efficient VLSI Architecture of a Reconfigurable Pulse- Shaping FIR Interpolation Filter for Multi standard DUC

An Efficient VLSI Architecture of a Reconfigurable Pulse- Shaping FIR Interpolation Filter for Multi standard DUC An Efficient VLSI Architecture of a Reconfigurable Pulse- Shaping FIR Interpolation Filter for Multi standard DUC MANOJKUMAR REDDY. NALI #8-185/1 NEW BALAJI COLONY M.R.PALLI TIRUPATHI, CHITTOOR(DIST),

More information

Intuitive Guide to Principles of Communications By Charan Langton Coding Concepts and Block Coding

Intuitive Guide to Principles of Communications By Charan Langton  Coding Concepts and Block Coding Intuitive Guide to Principles of Communications By Charan Langton www.complextoreal.com Coding Concepts and Block Coding It s hard to work in a noisy room as it makes it harder to think. Work done in such

More information

High-Performance Pipelined Architecture of Elliptic Curve Scalar Multiplication Over GF(2 m )

High-Performance Pipelined Architecture of Elliptic Curve Scalar Multiplication Over GF(2 m ) High-Performance Pipelined Architecture of Elliptic Curve Scalar Multiplication Over GF(2 m ) Abstract: This paper proposes an efficient pipelined architecture of elliptic curve scalar multiplication (ECSM)

More information

Physical Bits: Transistors and Logic

Physical Bits: Transistors and Logic Physical its: Transistors and Logic Comp 411 ox-o-tricks F = XOR(,) Encoding bits with voltages The Digital contract Digital processing elements Gates Transistors uilding gates with transistors 1 Where

More information

Testability Trade-offs for BIST Data Paths

Testability Trade-offs for BIST Data Paths Testability Trade-offs for BIST Data Paths Nicola Nicolici and Bashir M. Al-Hashimi Your Reference:JETT76601 Initial Submission - 20 July 2001 Revised Submission - 16 June 2003 Final Submission - 21 January

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

CSE502: Computer Architecture CSE 502: Computer Architecture

CSE502: Computer Architecture CSE 502: Computer Architecture CSE 502: Computer Architecture Out-of-Order Schedulers Data-Capture Scheduler Dispatch: read available operands from ARF/ROB, store in scheduler Commit: Missing operands filled in from bypass Issue: When

More information

Vector-based Peak Current Analysis during Wafer Test of Flip-chip Designs

Vector-based Peak Current Analysis during Wafer Test of Flip-chip Designs University of Connecticut DigitalCommons@UConn Doctoral Dissertations University of Connecticut Graduate School 4-8-2013 Vector-based Peak Current Analysis during Wafer Test of Flip-chip Designs Wei Zhao

More information

Volume 2, Issue 9, September 2014 International Journal of Advance Research in Computer Science and Management Studies

Volume 2, Issue 9, September 2014 International Journal of Advance Research in Computer Science and Management Studies Volume 2, Issue 9, September 2014 International Journal of Advance Research in Computer Science and Management Studies Research Article / Survey Paper / Case Study Available online at: www.ijarcsms.com

More information

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1 Lecture 16: Testing, Design for Testability MAH, AEN EE271 Lecture 16 1 Overview Reading W&E 7.1-7.3 - Testing Introduction Up to this place in the class we have spent all of time trying to figure out

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

RT-level Fault Simulation Based on Symbolic Propagation

RT-level Fault Simulation Based on Symbolic Propagation RT-level Fault Simulation Based on Symbolic Propagation Ozgur Sinanoglu and Alex Orailoglu Computer Science and Engineering Department University of California, San Diego La Jolla, CA 92093 fozgur, alexg@cs.ucsd.edu

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information