SCALING of CMOS technologies has defied all predictions

Size: px
Start display at page:

Download "SCALING of CMOS technologies has defied all predictions"

Transcription

1 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 7, JULY The Impact of Scaling Down to Deep Submicron on CMOS RF Circuits Qiuting Huang, Senior Member, IEEE, Francesco Piazza, Paolo Orsatti, and Tatsuya Ohguro Abstract Recent papers reporting CMOS RF building blocks have aroused great expectations for RF receivers using deepsubmicron technologies. This paper examines the trend in CMOS scaling, in order to establish the required current levels and achievable performance for different feature sizes, if robust, easily manufacturable designs are to be implemented for cellular applications. The boundary conditions (system-level constraints) for such designs, in terms of the number of trimmed and untrimmed external components and the roles they play in relaxing active circuit requirements, are emphasized throughout to make comparison of active RF circuits meaningful. At 1 GHz, 0.25-m CMOS appears to be the threshold for robust, low-nf RF front ends with current consumption competitive with today s BJT implementations. Index Terms CMOS RF, low-noise amplifier, low-power design, mixer, prescaler, RF-IC, technology scaling, wireless communication. I. INTRODUCTION SCALING of CMOS technologies has defied all predictions of technology limitations, and continues unabatedly toward the deep-submicron minimum feature size. This not only promises gigabit integration, gigahertz clock rate, and systems on a chip, but also arouses great expectations for CMOS RF circuits at 1 2 GHz, where the dominant technology is currently silicon bipolar. Various CMOS RF chips have been implemented in the last few years, with the ultimate goal of integrating a radio transceiver on a single CMOS chip [1], [2]. The successful inroads of CMOS into selective RF applications such as campus-wide wireless LAN and cordless phones naturally lead to the question of whether deep submicron CMOS could also be used for other more stringent applications. Examples of such more demanding applications include GSM handset and very low power pagers, etc. It is the purpose of this contribution to illustrate the device parameter improvements that can be expected as CMOS scales toward deep submicron, and to describe the significance of such improvements to key RF design parameters and building blocks. For RF designs, the achievable performance depends both on a transistor s transconductance coefficient and capacitances. The transconductance coefficient determines the amount of current required for a given gain and noise figure at the desired RF frequency. Both are critical for portable wireless Manuscript received November 26, 1997; revised February 9, Q. Huang, F. Piazza, and P. Orsatti are with the Integrated Systems Laboratory, Swiss Federal Institute of Technology, CH-8092 Zürich, Switzerland. T. Ohguro is with Toshiba Corporation, Tokyo, Japan. Publisher Item Identifier S (98) applications, and tend to be high for CMOS implementations. The parasitic capacitances, on the other hand, limit the speed, have a strong influence on the achievable quality factor of resonant structures found in matching networks and oscillators, and often form undesirable coupling paths which reduce the achievable isolation between different circuit nodes. The miniaturization of CMOS transistors, driven primarily by digital applications so far, follows the primary objective of increasing circuit speed and density, both of which benefit from reduced channel length. In the submicron era, the primary obstacles from the device design viewpoint include such shortchannel effects as punchthrough and hot-electron degradation [3] [6]. The approaches that different manufacturers employ to scale down gate length may differ, but they generally require scaling down of oxide thickness, reducing junction depths, and increasing the channel (substrate) doping. As a result, both the transconductance coefficient and parasitic capacitances increase. Since two-dimensional effects, subthreshold turn-off behavior, and the pressure to maintain constant supply voltage force manufacturers to abandon simple scaling rules such as electrostatic scaling in the submicron era [3], [4], and since the exact approaches of different manufacturers to submicron scaling are often closely guarded secrets, discussion of scaling trend also becomes more difficult. Instead of assuming specific scaling rules, which may not even be followed by the same company in its different generations of CMOS, we adopt an empirical approach here, and examine the key process parameters, relevant to RF design, of a set of known submicron technologies over the last four to five generations. The discussion of MOS parameters in the following section will then be followed by discussions of how the four critical building blocks working at the highest frequencies in an RF front end will be affected by scaling before the general conclusions. The four RF front-end blocks to be discussed are the low noise amplifier (LNA), the mixer, the local oscillator (LO), and the frequency prescaler. Some experimental examples of LNA, mixer, and LO will be shown. II. ACTUAL TREND OF MOS PARAMETERS RELEVANT TO RF DESIGN Oxide thickness is an important parameter as it determines the unit gate capacitance, affects the threshold voltage, and has a strong influence on such short-channel effects as punchthrough, drain-induced barrier lowering, and gateinduced drain leakage. In the last few generations at least, oxide thickness has scaled down linearly with gate length /98$ IEEE

2 1024 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 7, JULY 1998 Fig. 1. Effective transconductance coefficient at 200 mv gate overdrive. Fig. 2. Gate and overlap capacitances per micron of gate width versus minimum channel length (transistor assumed in saturation region). The ratio between minimum gate length and oxide thickness is between 40 and 50 for different technologies. Since mobility at low field is a weak function of doping concentration [7], the transistor s transconductance coefficient at low field should scale inversely with the oxide thickness, and hence channel length, as shown by the dashed curve in Fig. 1. In analog and RF designs, however, input transistors are usually biased at mv of gate overdrive. The drain source bias voltage is also usually much lower than the supply voltage, and remains constant for similar applications, relatively independent of process scaling. Since the reduction of oxide thickness and channel length exacerbates mobility degradation due to both the vertical and lateral field, the improvement of effective transconductance coefficient is much slower, as shown by the solid curve in Fig. 1. It can therefore be concluded that improvement in transconductance for the same current and ratio is much slower than the feature size reduction. Since RF circuits are usually small compared to both bonding pads and other baseband and digital circuits that may be integrated on the same chip, silicon area is usually not a primary concern. If the width of the transistor does not scale down as fast as the length, then transconductance does improve further for the same current. To what extent width

3 HUANG et al.: SCALING DOWN TO DEEP SUBMICRON 1025 Fig. 3. Junction capacitance for the bottom of drain and source. can be maintained as technology scales down depends on the capacitances associated with the transistor. In RF circuits, critical transistors are invariably minimum length transistors, so that it makes sense to discuss the contribution of gate oxide to the transistor s input capacitance in terms of gate capacitance per micrometer of gate width, assuming that the length is set to the minimum for the technology. Fig. 2 shows both the gate source capacitance and the overlap capacitance between the gate and drain (source) per micron of gate width. The top half of the figure shows that the gate source capacitance for minimum length transistors stays more or less constant in the submicron era, reflecting the fact that oxide thickness scales more or less linearly with gate length. More remarkable is the bottom half of the figure, showing that the overlap capacitance remains roughly constant despite the fact that gate oxide scales down in each generation. (The authors have some doubts about the integrity of the measurements by the manufacturer of the two points marked with question marks.) This may be a demonstration that improved processing quality reduces the gate drain overlap. Shallower junctions and refinement in rapid thermal processing both seem to be favorable to reducing the lateral diffusion of the LDD implant into the channel area. If we assume that the voltage gain between the transistor s gate and drain is 1, as may be the case for an input transistor in a cascode configuration, then the equivalent capacitance seen into the gate node of the transistor is roughly 2 ff/ m, taking into account both gate source and gate drain overlap capacitances as well as the Miller effect. Although the variation of each actual technology from this average can be as much as 0.5 ff/ m, the constant average will be a useful concept for predicting performance for RF circuits, and will provide a benchmark for the quality of each individual process. The scaling trend for junction capacitances is more difficult to establish. There appear to be quite different attitudes toward both junction capacitances per unit area and per gate width from different companies. The scaling of gate length is also not always accompanied by the same effort to scale the minimum drain (source) width that covers one row of contacts. Fig. 3 shows the unit capacitance for the bottom of the drain and source junctions for two groups of companies. Fig. 4, on the other hand, shows the sidewall capacitances for the same companies. In Fig. 3, we see the lower points rising until the m generation, reflecting the increase in channel, bulk, as well as drain/source doping concentration which is necessary to maintain low series resistance despite the decrease in junction depth. The same trend is also seen in the sidewall capacitances in Fig. 4. At 0.25 m, the bottom capacitance of one company and sidewall capacitance of another exhibit a sudden break from the upward trend, indicating that special processing measures are being taken to reduce the drain capacitance. Since m technologies are not yet fully qualified for circuits at the time of this writing for most companies, it is difficult to predict if we will see an improving trend from 0.25 m on. We suspect that cost will make manufacturers limit their countermeasures to stopping junction capacitances from rising further. It is difficult to imagine a trend of these capacitances scaling down with channel length. In RF designs, noise is very important. The series gate, source, and drain resistances are therefore more important than ever. Silicided gate and drain/source are already widespread, however, so that such series resistances can be made negligible in the low gigahertz range by proper layout. III. GENERAL OBJECTIVES IN RF-IC DESIGN Radio frequency integrated circuit design for wireless communication is a complex subject, not only because the operating frequencies of 1 2 GHz are high for most silicon technologies. The radio front end is the part of a very complex system whose design is most affected by the radio network environment. In addition to the RF IC s, many passive com-

4 1026 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 7, JULY 1998 Fig. 4. Sidewall capacitance versus minimum channel length. ponents are used in a transceiver for any of the following reasons: to select the desired radio channels and reject strong, out-of-band signals; to minimize the noise contribution from the image frequency; to provide impedance matching to board level transmission lines leading to high-frequency filters; to attenuate fluctuations of reference voltages and power supplies; to minimize undesirable signal feedthrough; to provide resonant structures for low-noise timing reference. The values of many passive components need to be tuned in production for the critical parts of the transceiver. The main objectives of RF-IC design, in addition to realizing functions that cannot be realized by passive components, are to achieve performances that enable unnecessary passive components to be eliminated, production tuning to be unnecessary, and cheaper components, especially filters, to be used so that the overall cost of producing the radio equipment is reduced. To appreciate the relative contributions to the overall cost, we note that manufacturing contributes 20%, filters and passive components contribute 5% each, and chipset, including baseband IC s, DSP, and controller chips, contributes 25% in a typical handset today [8]. There are only a few filters so that any circuit innovation that eliminates a filter or reduces its requirements will have a significant impact on cost. Passive components, on the other hand, are still found in today s handsets in the hundreds. Removing just a few of them may not make too much difference in overall cost. Removing those that require production tuning, however, removes an expensive part of manufacturing. In the design of the RF IC, it is therefore more important to make the circuit performance insensitive to the usual tolerances of passive components than integrating a few of them on chip. The smaller components used in the front end have higher tolerances, and are more susceptible to parasitics associated with board layout so that 10 20% errors should be expected. If the cost is critical to the profitability of a product, the performance-to-cost ratio is what makes it attractive to the users. In wireless applications such as cellular telephony, the speech quality is safeguarded by type-approval requirements so that the performance indicators visible to the users are usually talk/standby time, weight, and size. All three items are linked to the power consumption, which affects the requirements of the most expensive item in the handset (20%), the battery [8]. Of the total average power consumption of a typical receiver, 30 40% is consumed by the RF front end. Typical 900 MHz RF receiver chips integrated in advanced ( GHz) BJT technologies consume 50 ma in active mode [9] [11]. Integrated CMOS RF receivers published so far, on the other hand, consume ma [1], [2], [12] while achieving less good overall performance. Since doubling of the power consumption translates to significantly increased battery cost, weight, and size for the same talk/standby time, any saving due to cheaper CMOS technology and higher integration level is instantly lost to the increase in battery price. Since batteries typically contribute to 20% of the overall handset cost, it is not difficult to see that CMOS will only become competitive if it can deliver power consumptions comparable to BJT implementations. The main design objectives of RF ICs can thus be summarized as the following. 1) Reach or exceed type-approval performance with the lowest power consumption to minimize battery cost, size, and weight.

5 HUANG et al.: SCALING DOWN TO DEEP SUBMICRON ) Reach or exceed type-approval performance with the lowest number of tuned passive elements to minimize manufacturing cost. 3) Reach or exceed type-approval performance with the lowest number of external filters, as well as the minimum requirements for those filters at the highest frequencies. The latter include the RF filter (duplexer) and interstage image rejection filter, which tend to be large and expensive. Important active circuit parameters for type-approval performance include noise figure (NF), gain, small-signal linearity as described by intercept points ( ), large-signal linearity as described by a db compression point (CP), as well as reverse isolation as characterized by the scattering parameter. Phase noise is important at frequency offsets both within and exceeding the RF channel width. Within the RF channel, it degrades the signal-to-noise ratio. Outside the channel, it may reciprocal-mix out-of-band interefering signals onto the desired IF frequency. Most of our discussions will be based on receivers for more stringent requirements such as GSM, but will be independent of the receiver architecture, be it based on the superheterodyne or direct conversion principle. The only assumption in our discussion that may be more true for the case of superhet receivers than direct conversion receivers is the need for an interstage image-reject filter between the LNA and RF mixer. This external filter is usually required in high-performance receivers for two reasons. The first is to provide additional (to the RF filter before the LNA) attenuation for the strong, outof-band blocking signals before they reach the mixer, where intermodulation and reciprocal mixing issues are most critical. This attenuation is valuable for both superhet and direct conversion, especially for cellular applications such as GSM, where type approval requires the receiver to achieve a given bit-error rate in the presence of an interfering signal 20 MHz away and 98 db stronger than the signal in the desired channel. The second reason, valid for superhet receivers only, is to prevent noise at the image frequency prior to the mixer from being converted and superimposed on the same IF frequency as the desired channel. Without the filter, the overall noise figure referred to the LNA input can be up to 3 db higher. Because the filter has only limited attenuation at the image frequency and cannot suppress the mixer noise at the image, the actual improvement of overall NF is more like 1 2 db, depending on the relative noise levels of the LNA and the mixer [13]. In a very low-noise receiver such as GSM, however, 1 2 db NF degradation is unacceptable, and an interstage filter must be used. Bearing the above general objectives and requirements in mind, we are now in a position to examine the impact of CMOS scaling on each of the four RF front-end circuits. IV. THE LOW NOISE AMPLIFIER (LNA) The LNA is the first active circuit in the receiver chain, interfacing the duplexer or RF filter on the input side and either the image-reject interstage filter or the RF mixer directly on the output side. The most important requirements for the LNA are the following. 1) Provide sufficient gain so as to minimize the influence of noise contribution from building blocks after the LNA. The gain must not be too high, however, otherwise, large interfering signals, which have not yet been removed by channel filters, will exceed the limit that can be handled by the mixer s linearity. Typically, the LNA gain is between db as a reasonable compromise. 2) Contribute as little noise as possible. The required noise figure may differ from application to application. For stringent applications such as GSM, the LNA noise figure needs to be below 2 db because unavoidable losses of various filters leave very little noise budget for the active circuits. This is quite hard to achieve even with BJT implementations, and in some systems, the LNA is still constructed off chip with external discrete transistors and passive components. 3) Provide 50- interface to the RF filters at both the input and the output, with the help of as few external conponents as possible. The overall gain should be insensitive to tolerances of typical external components, and production tuning of such components should be avoided. 4) Provide sufficient small-signal linearity at the input and large-signal linearity at the output. The latter requirement, measured in terms of 1 db compression point, can be as high as 0 dbm at the LNA output, which places a lower limit on the current that must be provided by the output stage. There are essentially two ways of configuring the input transistor for an LNA: common-gate ( - ) as shown in Fig. 5(a) and common-source ( - ) as in Fig. 5(b). Also shown are the possible external components for impedance matching. For applications that are more tolerant to LNA noise, the - configuration can sometimes be designed to provide 50- input resistance without any external matching components [14]. The drawback of the - configuration is that the theoretical minimum for achievable NF is 2.2 db. If one adds degradation due to the hot-electron effect and other parasitic noise sources such as pad and substrate resistances, the NF is more likely to be more than 3 db [14]. In a GSMlike application, such NF is too high. In this discussion, we concentrate on the - configuration, which is capable of lower NF. The definition of NF itself dictates a law of diminishing return, so that, although there does not seem to be much difference between 3 and 2 db NF, achieving the latter is considerably harder, and few CMOS LNA s have done so, even when high current is expended. The input impedance of the LNA is matched to the source resistance when the following conditions are met: (1) (2)

6 1028 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 7, JULY 1998 Fig. 5. source. Input stage of low noise amplifier: (a) common gate and (b) common Under matching conditions, the overall transconductance from the input of the matching inductor to the drain of is given by, independent of the transconductance of. The voltage gain of the amplifier is determined by the product of this overall transconductance and the transimpedance of the output stage to be discussed later. The latter is on the order of if the output stage is to interface to an external image-reject filter directly, without a matching network. To realize an overall gain of 15 db, the value of is then on the order of 1 2 nh at 1 GHz. This is the same range as that of a typical bonding wire, so that can be realized as a bondwire for 1-GHz RF applications, and the only external component in the input matching network of Fig. 5(b) is inductor. Between the input of the matching network and the gate source voltage of, there is a voltage gain equal to the quality factor of the second-order transfer function at the resonance frequency : This gain is important in scaling the influence of the transistor noise on the NF of the overall amplifier. For example, if only s drain current noise is taken into account, the amplifier NF is given by It is clear from both (3) and (4) that a higher is beneficial to both improving NF and reducing the required, and therefore current consumption. The use of a high- matching network, however, is limited by several considerations. One reason is that a high- network results in large reactance levels associated with and compared to the real part of the input impedance which is 50. Although the nominal capacitive and inductive reactances cancel each other, 10 20% tolerance in their values results in a larger deviation for higher from the ideal 50- one wishes to realize. The 50- termination is required primarily by the RF filter, which is doubly terminated for maximum power transfer and lowest sensitivity. The specifications for the RF filter, such as insertion loss, passband ripple, and stopband attenuation, are only guaranteed for a given tolerance in terminating impedance, between 25 and 100, for example. When the impedance level exceeds such limits, the conditions for which the filter has low sensitivities are no longer maintained, and the passband ripples, as well as the stopband attenuation, may worsen due to parameter tolerances. Fig. 6 shows that the (3) (4) Fig. 6. Passpand insertion loss of the Rx path of a commercial duplexer for GSM, Murata DFY2R902CR947BHGF. The solid curve is measured with filter output terminated with 50- impedance. The dashed curves are measured with the output terminated with impedances having s11 = 06 db, 45 in each quadrant of the Smith chart. measured insertion loss of a commercial duplexer can already worsen by more than 0.5 db if the of the termination impedance is 6 db. This means that the precious NF before the LNA can worsen by the same amount, which incurs a very serious penalty. It is therefore our opinion that while a of 2 3 can be beneficial for reducing the LNA current consumption and NF, it should not exceed 5 to avoid the necessity to tune. Tuning, as we stated earlier, increases the manufacturing cost that is already a high percentage of the overall handset cost. A survey of commercial LNA and RF chips by well-known manufacturers shows a dwindling number of trimmed high- matching networks. The (loaded) is indeed below 3 in all of the untrimmed matching networks we found in data sheets and application notes [15]. For the purpose of discussing scaling, it is also important to fix to a common value so that performance improvement due to process parameter improvements can be better appreciated. In the following, we assume that the LNA matching network is designed to have a of 2.5, but the active part is implemented in different generations of technologies. We also assume that the RF frequency remains at 1 GHz, and we examine the effect of scaling on required current consumption. Once the matching network is fixed, so is the gate capacitance of. Rearranging (3) and (4), we have pf (5) where GHz, and. According to our discussion in the earlier section on CMOS scaling, the gate capacitance per micron width is roughly constant and is given by ff/ m (6) where the gain for the Miller capacitance is assumed to be. To satisfy the condition in (5), the required gate width

7 HUANG et al.: SCALING DOWN TO DEEP SUBMICRON 1029 Fig. 7. Required bias current for 60-mS transconductance versus channel length channel width is 600 m. Fig. 8. Low-noise amplifier schematic. is on the order of 600 m, as long as the technology scaling follows the trend in Fig. 2. The required transconductance to meet the matching condition (3), on the other hand, is also fixed once is decided and the required bondwire inductance is known. For and nh, is on the order of 60 ms. Assuming a simple quadratic characteristic for, the required first-stage current for different generations of CMOS can be estimated: ms m This current is plotted in Fig. 7 versus five different feature sizes using the data from Fig. 1. Similar curves can be plotted for higher or lower, but the trend will be similar. For, we see that at 0.8 m, the required current is nearly 25 ma for the first stage alone. For 0.5- m CMOS, the current reduces to 12 ma. Better current consumption can be achieved with higher, as shown in [16]. The price paid there, however, is a 6-dB variability in LNA gain for a 10% shift in center frequency. At 0.25 m, the current for the first stage drops to 4 ma. Since MOS transistors always have a lower -to-current ratio than bipolar transistors, this current (7) Fig. 9. Photomicrograph of the 0.25-m CMOS LNA. consumption is still inferior to some of the state-of-the-art BJT designs for comparable specifications (2.5 ma in [17]). The gap, however, is sufficiently small for CMOS to challenge BJT at 1 GHz on the strength of lower cost. One of the well-established ways of realizing the output stage of the LNA is the transimpedance structure (, and ) shown in Fig. 8 [17], [18]. The main design issues here are to: direct most of the signal current from the input stage to the feedback resistance ( ) to realize the overall gain of db; present the output of the first stage a sufficiently low input resistance so that the dominant pole it forms with the parasitic capacitances (junction and overlap capacitances of the input cascode transistor plus the gate capacitance

8 1030 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 7, JULY 1998 Fig. 10. Measured LNA gain and noise figure. of ) is sufficiently high compared to the signal frequency; this requires a high for to achieve high loop gain for the transimpedance stage; provide sufficient output current to fulfill linearity requirements such as and especially output ; combine the values of, and especially of in such a way that the output resistance of the LNA is close to 50 to allow direct impedance matching to the interstage image-reject filter without external components. One alternative to using the transimpedance output stage is to use on-chip spiral inductors to tune out the parasitic output capacitance of the first stage so that high gain can be realized. A buffer can then be used to provide 50- matching and isolate the high gain stage. With the help of on-chip inductors, bias current of the input stage can be reused by the buffer stage, so that the overall current consumption remains low [19]. One of the drawbacks of such a solution, however, is that the gain of the LNA is defined by (the of) a resonator formed by a spiral inductor and parasitic capacitors. The resonator is in turn defined by parasitics such as interconnect metal resistance and substrate loss, as well as the output resistance of cascoded transistors, which all have strong variability. Together with the variability of the resonant frequency itself, the overall gain may vary beyond the limits acceptable for high yield production, and cannot even be tuned. Returning to the transimpedance output stage in Fig. 8, the tradeoffs in the requirements listed above confine the of to about 50 ms, which cannot be realized as a wide and low current combination. The primary limitations are the contribution of s gate capacitance to the cutoff frequency and linearity of the LNA. The pole associated with the drain of the input stage limits the width of to the same order of magnitude as that of. For channel lengths above 0.5 Fig. 11. Schematic diagram of a double-balanced mixer. m, the trend in current consumption shown in Fig. 7 will be repeated for the output stage. Below 0.35 m, however, further reduction of current is prevented by the linearity requirement. The linearity of the output stage is especially dictated by the output compression point requirement which is on the order of 0 dbm, for a cellular phone environment typically characterized by a minimum input signal strength of 110 to 100 dbm and a signal dynamic range of db [20]. Without a matching network, the output transistor sees the 50- input resistance of the image-reject filter, in parallel with the bias and feedback resistances of the LNA. Even if the latter resistances are made large so that the 50- load resistance dominates, the minimum peak output current required to achieve 0 dbm ( 300-mV peak) is 6 ma. This value is independent of the active device, so that scaling beyond 0.35 m is unlikely to have a significant influence on this part of the current consumption. Indeed, a similar current consumption of 5.5 ma was used even in the BJT solution in [17]. To summarize the discussions on LNA, we can state that for the same application frequency of 1 GHz, the bias current

9 HUANG et al.: SCALING DOWN TO DEEP SUBMICRON 1031 TABLE I SUMMARY OF LNA MEASUREMENTS of the input stage will scale slightly faster than the feature size reduction of the technology. The output current, on the other hand, scales down until it reaches 5 6 ma, where it will remain constant. For a current consumption close to state-ofthe-art BJT implementations, m CMOS is necessary for comparable performance. To demonstrate the overall performance achievable by a m CMOS technology, we developed a prototype LNA circuit based on Fig. 8 and the discussions above. The measured performance is summarized in Table I, whereas the die photo is shown in Fig. 9. Worth noting is the noise figure of 1.85 db, which is the lowest reported so far in the literature for CMOS IC s. The total current consumption, 10.8 ma, is very close to state-of-the-art BJT implementations [17], [21]. Fig. 10 shows the measured and NF versus frequency. Both are very flat, demonstrating the advantage of a lowdesign. V. THE MIXER In addition to the obvious function of frequency translation, the desired characteristics of a mixer include the following: low-noise figure ( 15 db); a moderate conversion gain to reduce the noise contribution of the IF or baseband amplifiers to the overall NF; high linearity; 50 input impedance (to match to the interstage image reject filter); low coupling from the LO to the RF port; suppression of LO feedthrough to the IF port (if passive IF filter is absent). Although many mixer structures exist [22] and some new ones have recently been proposed, the only configuration that fulfills most of the requirements at 1 2 GHz, especially the first two listed above, is the well-known Gilbert mixer, whose simplified diagram is shown in Fig. 11. It is essentially a transconductance stage followed by commutating switches. The latter usually dominate the overall mixer noise figure, which typically lies around 15 db for BJT implementations at 1 GHz for a typical LO level of 0 dbm [9], [17]. For the input transconductance stage, much of the discussion about the LNA input stage is still valid. The common-gate input stage, however, is more acceptable in the mixer case because, whether the input transistor contributes 2 or 3 db to the overall noise figure, the difference is relatively small. The advantage of a - input, on the other hand, is that the of each input transistor can be set to 40 ms, so that the two input transistors provide a natural broad-band 50- matching. For a given input transconductance, the main limitation to improving current consumption is linearity. The RF signals reaching the mixer have already been filtered by the RF filter before the LNA and the interstage filter after the LNA, so that blocking signals far away from the block of channels assigned to the service (such as GSM) have already been attenuated. Within the service, however, the near far situation may still cause signals on other users channels to be much higher (30 db, for instance) than one s own. Indeed, GSM-type approval requirements stipulate that a receiver must be able to maintain a minimum BER when detecting a 98 dbm signal in the presence of two interfering signals 49 db (four channels away) and 48 db (eight channels away) higher, respectively. Such signals are amplified by the LNA, and reach the mixer without filtering so that the latter must have a high (and in the case of direct conversion). We will therefore concentrate our discussion of the mixer on the third-order intercept point. To obtain an estimate of, we describe the relationship of as in (8) [4]: where and (8) (9) (10) If we apply two signals of equal amplitude to the double-balanced mixer, each input transistor sees half of the input signals. Expanding (8) into a power series with equal to the sum of two sine waves with equal amplitude and collecting the third-order terms, it can be shown that the input-referred third-order intermodulation product for each transistor is given by (11) A single sine-wave input with the same power as the twotone test above has an amplitude. Equating with in (11), we obtain the third-order intercept point in dbm (the dimensions in the log terms cancel out in the algebraic sum): (12) If one maintains the same input (40 ms each transistor for 50- matching, for example) and the same (plus

10 1032 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 7, JULY 1998 Fig. 12. Progression of IP3 and bias current for double-balanced mixer. the drain capacitance of the bias transistor and the input pad capacitance) for a given corner frequency, then the width of remains roughly the same as the technology scales. The resulting improvement in the ratio, although beneficial to current reduction in the same trend as shown in Fig. 7, degrades linearity, as indicated by (12). This degradation is exacerbated by the worsening of, which is believed to be inversely proportional to the oxide thickness [4], [7]. The parameter, or its equivalent in various SPICE models, is often not given enough attention by people who measure the transistor parameters, so that reliable measured data are hard to come by. The inverse proportionality of to oxide thickness, although not always corroborated by foundry data, gives us a reasonable indication of the impact of scaling on linearity, as long as the transistor works in strong inversion: (13) Fig. 13. Photomicrograph of the 0.25-m CMOS mixer. According to [4], the coefficient ( times the oxide thickness ) has a range of 1 4 nm/v. The value of 4 nm/v is used to generate Fig. 12, which shows that, for a given width of 400 m (corresponding to an input corner frequency of 4 GHz for a m design), the required current to realize 40 ms for input matching scales down rapidly with decreasing channel length. According to (13), also degrades, at a rate slightly faster than the reduction of channel length. Similar conclusions have been drawn in [23]. If we compare the predicted by (13) at 1 m length and measurements in the literature, such as the reported in [14], the results are very close. The application of (13) to the m technology assumes that the overdrive for transistor is more than 100 mv, still well above the subthreshold limit. The resulting ratio is about 13. Assuming a subthreshold slope of 1.5, the maximum ratio that can achieve is mv. TABLE II SUMMARY OF 0.25 m MIXER MEASUREMENTS This happens when the transistor works in weak inversion and (13) is no longer valid. A further reduction of current will result in rapid degradation in as the transistor assumes an exponential characteristic. The dashed line in Fig. 12 depicts

11 HUANG et al.: SCALING DOWN TO DEEP SUBMICRON 1033 Fig. 14. Measurement of third-order intercept point for double-balanced mixer. the likely departure of the actual from that given by (13) and the rapid degradation of to bipolar levels. The for a bipolar transistor, based on the same definition as above, is 8.15 dbm. Because the subthreshold slope of a MOSFET lies between 1 and 2, the worst case for MOS is still better than the bipolar case. For a typical slope of 1.5, the is 5 dbm for weak inversion operation. This is far below the for a strong inversion operation as given by (12) or (13). Even for a m implementation, measurement shows that the is 9 dbm, 9 db below the prediction by (13). This shows that the transition to bipolar behavior has already started at the level of 3 ma. If high linearity of the MOSFET has been assumed as a basis for a particular architecture or receiver plan, then strong inversion operation must be maintained, even if this means keeping the current constant and reducing the transistor width with the channel length. Returning to Fig. 12, we can conclude that current consumption reduces with scaling, reaching 3 ma (6 ma for the mixer) for m technology. Such a current consumption is already sufficiently small compared to the overall current consumption of RF receivers today, so that substantial further reduction at the expense of drastic degradation in is unnecessary. Whether current reduction continues or levels out for future CMOS processes below 0.25 m depends on the influence of current on the mixer noise figure. Similar to the input transistors, the width of the switching transistors can be kept constant while the gate length, as well as current, scale down. The of the switching transistors will stay roughly unchanged, as does their drain noise current. The ratio between the switching transistor gate overdrive and the LO amplitude determines the time period in which both transistors in the source-coupled pair are conducting. During this period, the switching transistor contributes to the mixer noise figure substantially. Reducing the gate overdrive, as a result of the above scaling, reduces the duty cycle in which Fig. 15. Schematic diagram of Clapp oscillator. noise of the switching transistors is present, and improves the overall noise figure. To verify one s ability to provide 50- matching without external components, and to use low current while maintaining good mixer performance, we implemented a double-balanced mixer in a m CMOS. Fig. 13 shows its photomicrograph. The mixer was designed for a 900-MHz, superhet GSM receiver. The measured parameters are summarized in Table II. Fig. 14 shows the measurement. Apart from 50 input without a matching network and the high and,a remarkable feature is the mixer s SSB noise figure of 12.8 db, which is better than many BJT realizations by 2 3 db [9], [17]. VI. THE LOCAL OSCILLATOR (LO) First-order oscillators such as ring and relaxation oscillators generally have too high phase noise to be useful in mobile receivers. This leaves -tuned oscillators, or those based on other resonators, to be the only type found in mobile phone handsets. The Clapp oscillator, shown in Fig. 15, is among the most widely used types, which we will use as example here. In a well-designed oscillator, the main active device (or devices in differential implementations), be it BJT or MOS, operates in Class-C mode like a switch. Upon triggering by

12 1034 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 7, JULY 1998 the sine wave on the resonator, the active device injects impulses of current back into the resonator to sustain oscillation. Intuitively, therefore, once the transistor behaves like a switch, further decreasing the channel length will only have a limited impact on the oscillator performance. For an RF local oscillator, the most important parameters are LO amplitude, phase noise, and current consumption. The first two are closely linked with the third. For a single-ended Clapp oscillator, the oscillation amplitude is given by [24] (14) where is the resistive loss of the resonator, is the angular frequency of oscillation, and is the critical required of to start oscillation. The parameter is a function of the ratio between s nominal transconductance and. The range of is between and. In typical designs, the ratio is higher than 5 and, so that the influence of the transistor s dimensions on, and in turn, becomes very small. It can be shown that the ratio between the phase noise spectral density at an angular offset frequency from the oscillation and that of the carrier is given by (15) [25], [26]: (15) where is the quality factor of the resonator and is the transconductance of the bias transistor. Normally, capacitors and are set equal, so that the first term in the square brackets is the same as. Since increases the phase noise, it should be set to three five times to ensure that oscillation starts and is close to 1, but no more. Transconductance should also be minimized to the extent permitted by the voltage swing requirement of the bias transistor. If we assume the last term in the square brackets in (15) to be negligible compared to the first two, which are in turn determined by the parameters of the passive resonator, then the phase-noise-to-carrier ratio is more or less only determined by the bias current and the resonator. For a given resonator in the 1 2-GHz range, which is usually external and not affected by the active device, scaling does not improve the oscillator performance. There has been quite some effort in the IC community to incorporate spiral inductors on chip using interconnect metal layers. Remarkable progress has been made in the quality of such inductors [27], so that even the phase noise requirement for GSM applications, believed to be around 115 dbc/hz at 100-kHz offset, may be met in the future by such oscillators with a reasonable current consumption. From the point of view of technology scaling, as long as the number of interconnect metal layers stays the same (it has been two three layers for most technologies used for mixed-signal applications), then Fig. 16. Photomicrograph of 0.4-m CMOS Clapp oscillator (chip includes a 1-mA frequency prescaler). scaling has relatively little impact on parameters that may affect the spiral inductor quality. Many deep-submicron digital technologies are providing five layers of metal or more to ease the digital interconnect problem. In such technologies, the field oxide thickness between the top metal and the substrate increases, so that the achievable quality factor may also increase. In that case, current consumption in integrated spiral- oscillators will decrease. To demonstrate what is achievable, we implemented a 1-GHz Clapp oscillator a few years ago with an external resonator and an NMOS transistor 500 m wide and 1 m (minimum length) long. The current consumption was a little over 1 ma and the phase noise at 100-kHz offset was 113 dbc/hz. Recently, we implemented another Clapp oscillator in 0.4- m CMOS (micrograph shown in Fig. 16) [26] with a similar external resonator (except that the inductor is an SMD device rather than hand-wound). The current consumption stands at 1.5 ma, whereas the phase noise is still 113 dbc/hz at 100 khz, as shown in Fig. 17. In terms of phase noise per milliampere of current consumption, an external resonator is still 12 db better than the best fully integrated solutions at 1 GHz. It remains to be seen if this would be changed by increased metal layers in future generations of CMOS. VII. THE FREQUENCY PRESCALER The impact of scaling on digital circuits has been extensively studied in the past, and much better understood than analog functions. The discussion here is intended for completeness, and will be kept brief. The first observation to be made here is that inverter-based digital logic and flip-flops usually consume too much power at the gigahertz frequency range to be useful for RF applications. More importantly, such single-ended structures generate impulsive disturbances with frequency contents close to the LO and RF frequencies, which will be coupled to the signal path through the power supply and substrate. This makes them unacceptable to the RF front end. Prescalers in RF receivers are therefore almost exclusively implemented with emitter-coupled logic, or their CMOS counterpart, the enhancement source-coupled logic (ESCL), which generate fewer disturbances. Low power consumption is mainly a result of lower logic swing, the latter

13 HUANG et al.: SCALING DOWN TO DEEP SUBMICRON 1035 higher than unity (we take 2 to allow sufficient margin), and the appropriate logic swing. The latter must be sufficiently large to ensure operation, but not too large in order to save power. We take 200 mv as an appropriate level: (18) (19) Combining (16) (19), we can establish an estimate of the time constant as a function of transistor dimensions: (20) Fig. 17. Measured output power spectrum of 900-MHz Clapp oscillator. As the channel lengths scale down and transconductance coefficients go somewhat up, can be scaled down faster than to maintain the time constant at the same level as before, until the minimum value allowed by the design rules is reached. The reduction of allows the bias current to be scaled faster than the channel length for the same operating frequency. (a) (b) Fig. 18. (a) ESCL inverter with PMOS load and (b) equivalent circuit with parasitics. being compatible with the signal level of its driver, the local oscillator. Earlier ESCL logic uses a diode-connected PMOS or NMOS transistor as the active load [28]. The voltage drop across the load transistor, however, makes it unsuitable for deepsubmicron CMOS because of the reduction. Folded source-coupled logic (FSCL) [29] is better for low-voltage operation, but has the drawback that current consumption is doubled for the same speed requirement. A better sourcecoupled logic structure is to use PMOS transistors biased in the triode region as the resistive load [30], [31], as shown in Fig. 18. The load resistance is determined by the bias voltage and the aspect ratio of the transistor: (16) The capacitance associated with the output node, which will limit speed and dictate the required current, consists of parts that scale with the width of the transistors and parts that are fixed, such as the sidewall capacitance along the two sides of the drain and wiring capacitance. (17) The important design considerations are the maximum time constant, minimum gain of the inverter, which must be VIII. CONCLUSIONS Radio frequency design for portable wireless applications is characterized by complex tradeoffs among system requirements, receiver architecture, passive off-chip components, and active circuits. In this contribution, the boundary conditions for the IC design are assumed to be such that the resulting solution is most robust to production tolerances and low cost in the overall sense, and meets stringent requirements of cellular phone systems such as GSM. Power (current) consumption receives particular attention because it affects the lifetime, size, and weight of the most expensive item in a handset, the battery. Within such boundary conditions, it is shown that LNA and mixer current consumptions need necessarily be high with CMOS feature sizes above 0.5 m. At m channel length, the current consumption for both types of circuits come down to sufficiently low levels for CMOS to compete with BJT at 1 2 GHz on the strength of cost if deep-submicron CMOS is indeed cheaper than 15-GHz bipolar or BiCMOS technologies. Excellent performances have been achieved by the m CMOS LNA and mixer presented in this paper. The performance of a local oscillator, usually implemented with a tuned resonator, is mainly determined by the bias current and the resonator, so that at 1 2 GHz, the impact of scaling is minor. The frequency prescaler, on the other hand, enjoys current reduction faster than channel length scaling, as do most digital circuits. REFERENCES [1] A. Abidi et al., The future of CMOS wireless transceivers, in IEEE ISSCC Dig. Tech. Papers, San Francisco, CA, Feb. 1997, pp [2] J. Rudell et al., A 1.9GHz wide-band IF double conversion CMOS integrated receiver for cordless telephone applications, in IEEE ISSCC Dig. Tech. Papers, San Francisco, CA, Feb. 1997, pp [3] R. K. Watts, Ed., Submicron Integrated Circuits. New York: Wiley- Interscience, 1989.

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

THE rapid growth of portable wireless communication

THE rapid growth of portable wireless communication 1166 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 8, AUGUST 1997 A Class AB Monolithic Mixer for 900-MHz Applications Keng Leong Fong, Christopher Dennis Hull, and Robert G. Meyer, Fellow, IEEE Abstract

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

2005 IEEE. Reprinted with permission.

2005 IEEE. Reprinted with permission. P. Sivonen, A. Vilander, and A. Pärssinen, Cancellation of second-order intermodulation distortion and enhancement of IIP2 in common-source and commonemitter RF transconductors, IEEE Transactions on Circuits

More information

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407

444 Index. F Fermi potential, 146 FGMOS transistor, 20 23, 57, 83, 84, 98, 205, 208, 213, 215, 216, 241, 242, 251, 280, 311, 318, 332, 354, 407 Index A Accuracy active resistor structures, 46, 323, 328, 329, 341, 344, 360 computational circuits, 171 differential amplifiers, 30, 31 exponential circuits, 285, 291, 292 multifunctional structures,

More information

760 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 6, JUNE A 0.8-dB NF ESD-Protected 9-mW CMOS LNA Operating at 1.23 GHz

760 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 6, JUNE A 0.8-dB NF ESD-Protected 9-mW CMOS LNA Operating at 1.23 GHz 760 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 6, JUNE 2002 Brief Papers A 0.8-dB NF ESD-Protected 9-mW CMOS LNA Operating at 1.23 GHz Paul Leroux, Johan Janssens, and Michiel Steyaert, Senior

More information

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design

A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 36, NO. 5, MAY 2001 831 A New Model for Thermal Channel Noise of Deep-Submicron MOSFETS and its Application in RF-CMOS Design Gerhard Knoblinger, Member, IEEE,

More information

Designing a 960 MHz CMOS LNA and Mixer using ADS. EE 5390 RFIC Design Michelle Montoya Alfredo Perez. April 15, 2004

Designing a 960 MHz CMOS LNA and Mixer using ADS. EE 5390 RFIC Design Michelle Montoya Alfredo Perez. April 15, 2004 Designing a 960 MHz CMOS LNA and Mixer using ADS EE 5390 RFIC Design Michelle Montoya Alfredo Perez April 15, 2004 The University of Texas at El Paso Dr Tim S. Yao ABSTRACT Two circuits satisfying the

More information

THE TREND toward implementing systems with low

THE TREND toward implementing systems with low 724 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 30, NO. 7, JULY 1995 Design of a 100-MHz 10-mW 3-V Sample-and-Hold Amplifier in Digital Bipolar Technology Behzad Razavi, Member, IEEE Abstract This paper

More information

RF Integrated Circuits

RF Integrated Circuits Introduction and Motivation RF Integrated Circuits The recent explosion in the radio frequency (RF) and wireless market has caught the semiconductor industry by surprise. The increasing demand for affordable

More information

Testing Power Sources for Stability

Testing Power Sources for Stability Keywords Venable, frequency response analyzer, oscillator, power source, stability testing, feedback loop, error amplifier compensation, impedance, output voltage, transfer function, gain crossover, bode

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology

Digital Electronics. By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology K. N. Toosi University of Technology Chapter 7. Field-Effect Transistors By: FARHAD FARADJI, Ph.D. Assistant Professor, Electrical and Computer Engineering, K. N. Toosi University of Technology http://wp.kntu.ac.ir/faradji/digitalelectronics.htm

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 10.8 10Gb/s Limiting Amplifier and Laser/Modulator Driver in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering

More information

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 93 CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 4.1 INTRODUCTION Ultra Wide Band (UWB) system is capable of transmitting data over a wide spectrum of frequency bands with low power and high data

More information

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier

Chapter 5. Operational Amplifiers and Source Followers. 5.1 Operational Amplifier Chapter 5 Operational Amplifiers and Source Followers 5.1 Operational Amplifier In single ended operation the output is measured with respect to a fixed potential, usually ground, whereas in double-ended

More information

Low Flicker Noise Current-Folded Mixer

Low Flicker Noise Current-Folded Mixer Chapter 4 Low Flicker Noise Current-Folded Mixer The chapter presents a current-folded mixer achieving low 1/f noise for low power direct conversion receivers. Section 4.1 introduces the necessity of low

More information

High-Linearity CMOS. RF Front-End Circuits

High-Linearity CMOS. RF Front-End Circuits High-Linearity CMOS RF Front-End Circuits Yongwang Ding Ramesh Harjani iigh-linearity CMOS tf Front-End Circuits - Springer Library of Congress Cataloging-in-Publication Data A C.I.P. Catalogue record

More information

An introduction to Depletion-mode MOSFETs By Linden Harrison

An introduction to Depletion-mode MOSFETs By Linden Harrison An introduction to Depletion-mode MOSFETs By Linden Harrison Since the mid-nineteen seventies the enhancement-mode MOSFET has been the subject of almost continuous global research, development, and refinement

More information

PROJECT ON MIXED SIGNAL VLSI

PROJECT ON MIXED SIGNAL VLSI PROJECT ON MXED SGNAL VLS Submitted by Vipul Patel TOPC: A GLBERT CELL MXER N CMOS AND BJT TECHNOLOGY 1 A Gilbert Cell Mixer in CMOS and BJT technology Vipul Patel Abstract This paper describes a doubly

More information

Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell

Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell 1 Quadrature GPS Receiver Front-End in 0.13μm CMOS: The QLMV cell Yee-Huan Ng, Po-Chia Lai, and Jia Ruan Abstract This paper presents a GPS receiver front end design that is based on the single-stage quadrature

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

Session 3. CMOS RF IC Design Principles

Session 3. CMOS RF IC Design Principles Session 3 CMOS RF IC Design Principles Session Delivered by: D. Varun 1 Session Topics Standards RF wireless communications Multi standard RF transceivers RF front end architectures Frequency down conversion

More information

Tuesday, March 22nd, 9:15 11:00

Tuesday, March 22nd, 9:15 11:00 Nonlinearity it and mismatch Tuesday, March 22nd, 9:15 11:00 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo Last time and today, Tuesday 22nd of March:

More information

Index. Small-Signal Models, 14 saturation current, 3, 5 Transistor Cutoff Frequency, 18 transconductance, 16, 22 transit time, 10

Index. Small-Signal Models, 14 saturation current, 3, 5 Transistor Cutoff Frequency, 18 transconductance, 16, 22 transit time, 10 Index A absolute value, 308 additional pole, 271 analog multiplier, 190 B BiCMOS,107 Bode plot, 266 base-emitter voltage, 16, 50 base-emitter voltages, 296 bias current, 111, 124, 133, 137, 166, 185 bipolar

More information

THERE is currently a great deal of activity directed toward

THERE is currently a great deal of activity directed toward IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 12, DECEMBER 1997 2097 A 2.5-GHz BiCMOS Transceiver for Wireless LAN s Robert G. Meyer, Fellow IEEE, William D. Mack, Senior Member IEEE, and Johannes

More information

A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier

A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier 852 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 7, JULY 2002 A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier Ryuichi Fujimoto, Member, IEEE, Kenji Kojima, and Shoji Otaka Abstract A 7-GHz low-noise amplifier

More information

RF-CMOS Performance Trends

RF-CMOS Performance Trends 1776 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 8, AUGUST 2001 RF-CMOS Performance Trends Pierre H. Woerlee, Mathijs J. Knitel, Ronald van Langevelde, Member, IEEE, Dirk B. M. Klaassen, Luuk F.

More information

CMOS Circuit for Low Photocurrent Measurements

CMOS Circuit for Low Photocurrent Measurements CMOS Circuit for Low Photocurrent Measurements W. Guggenbühl, T. Loeliger, M. Uster, and F. Grogg Electronics Laboratory Swiss Federal Institute of Technology Zurich, Switzerland A CMOS amplifier / analog-to-digital

More information

UNIT-1 Fundamentals of Low Power VLSI Design

UNIT-1 Fundamentals of Low Power VLSI Design UNIT-1 Fundamentals of Low Power VLSI Design Need for Low Power Circuit Design: The increasing prominence of portable systems and the need to limit power consumption (and hence, heat dissipation) in very-high

More information

(Refer Slide Time: 02:05)

(Refer Slide Time: 02:05) Electronics for Analog Signal Processing - I Prof. K. Radhakrishna Rao Department of Electrical Engineering Indian Institute of Technology Madras Lecture 27 Construction of a MOSFET (Refer Slide Time:

More information

Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc.

Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc. February 2014 Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc. Low Noise Amplifiers (LNAs) amplify weak signals received by the antenna in communication systems.

More information

A High Gain and Improved Linearity 5.7GHz CMOS LNA with Inductive Source Degeneration Topology

A High Gain and Improved Linearity 5.7GHz CMOS LNA with Inductive Source Degeneration Topology A High Gain and Improved Linearity 5.7GHz CMOS LNA with Inductive Source Degeneration Topology Ch. Anandini 1, Ram Kumar 2, F. A. Talukdar 3 1,2,3 Department of Electronics & Communication Engineering,

More information

Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design. by Dr. Stephen Long University of California, Santa Barbara

Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design. by Dr. Stephen Long University of California, Santa Barbara Evaluating and Optimizing Tradeoffs in CMOS RFIC Upconversion Mixer Design by Dr. Stephen Long University of California, Santa Barbara It is not easy to design an RFIC mixer. Different, sometimes conflicting,

More information

High Intercept Low Noise Amplifier for 1.9 GHz PCS and 2.1 GHz W-CDMA Applications using the ATF Enhancement Mode PHEMT

High Intercept Low Noise Amplifier for 1.9 GHz PCS and 2.1 GHz W-CDMA Applications using the ATF Enhancement Mode PHEMT High Intercept Low Noise Amplifier for 1.9 GHz PCS and 2.1 GHz W-CDMA Applications using the ATF-55143 Enhancement Mode PHEMT Application Note 1241 Introduction Avago Technologies ATF-55143 is a low noise

More information

Department of Electrical Engineering and Computer Sciences, University of California

Department of Electrical Engineering and Computer Sciences, University of California Chapter 8 NOISE, GAIN AND BANDWIDTH IN ANALOG DESIGN Robert G. Meyer Department of Electrical Engineering and Computer Sciences, University of California Trade-offs between noise, gain and bandwidth are

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

Chapter 13 Oscillators and Data Converters

Chapter 13 Oscillators and Data Converters Chapter 13 Oscillators and Data Converters 13.1 General Considerations 13.2 Ring Oscillators 13.3 LC Oscillators 13.4 Phase Shift Oscillator 13.5 Wien-Bridge Oscillator 13.6 Crystal Oscillators 13.7 Chapter

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 20.5 A 2.4GHz CMOS Transceiver and Baseband Processor Chipset for 802.11b Wireless LAN Application George Chien, Weishi Feng, Yungping

More information

Chapter 4. CMOS Cascode Amplifiers. 4.1 Introduction. 4.2 CMOS Cascode Amplifiers

Chapter 4. CMOS Cascode Amplifiers. 4.1 Introduction. 4.2 CMOS Cascode Amplifiers Chapter 4 CMOS Cascode Amplifiers 4.1 Introduction A single stage CMOS amplifier cannot give desired dc voltage gain, output resistance and transconductance. The voltage gain can be made to attain higher

More information

Low Distortion Mixer AD831

Low Distortion Mixer AD831 a FEATURES Doubly-Balanced Mixer Low Distortion +2 dbm Third Order Intercept (IP3) + dbm 1 db Compression Point Low LO Drive Required: dbm Bandwidth MHz RF and LO Input Bandwidths 2 MHz Differential Current

More information

DAT175: Topics in Electronic System Design

DAT175: Topics in Electronic System Design DAT175: Topics in Electronic System Design Analog Readout Circuitry for Hearing Aid in STM90nm 21 February 2010 Remzi Yagiz Mungan v1.10 1. Introduction In this project, the aim is to design an adjustable

More information

Highly linear common-gate mixer employing intrinsic second and third order distortion cancellation

Highly linear common-gate mixer employing intrinsic second and third order distortion cancellation Highly linear common-gate mixer employing intrinsic second and third order distortion cancellation Mahdi Parvizi a), and Abdolreza Nabavi b) Microelectronics Laboratory, Tarbiat Modares University, Tehran

More information

Lecture-45. MOS Field-Effect-Transistors Threshold voltage

Lecture-45. MOS Field-Effect-Transistors Threshold voltage Lecture-45 MOS Field-Effect-Transistors 7.4. Threshold voltage In this section we summarize the calculation of the threshold voltage and discuss the dependence of the threshold voltage on the bias applied

More information

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS Fourth Edition PAUL R. GRAY University of California, Berkeley PAUL J. HURST University of California, Davis STEPHEN H. LEWIS University of California,

More information

ACMOS RF up/down converter would allow a considerable

ACMOS RF up/down converter would allow a considerable IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 7, JULY 1997 1151 Low Voltage Performance of a Microwave CMOS Gilbert Cell Mixer P. J. Sullivan, B. A. Xavier, and W. H. Ku Abstract This paper demonstrates

More information

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4 33.4 A Dual-Channel Direct-Conversion CMOS Receiver for Mobile Multimedia Broadcasting Vincenzo Peluso, Yang Xu, Peter Gazzerro, Yiwu Tang, Li Liu, Zhenbiao Li, Wei Xiong, Charles Persico Qualcomm, San

More information

6.776 High Speed Communication Circuits and Systems Lecture 14 Voltage Controlled Oscillators

6.776 High Speed Communication Circuits and Systems Lecture 14 Voltage Controlled Oscillators 6.776 High Speed Communication Circuits and Systems Lecture 14 Voltage Controlled Oscillators Massachusetts Institute of Technology March 29, 2005 Copyright 2005 by Michael H. Perrott VCO Design for Narrowband

More information

Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh

Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh Design of a Low Power 5GHz CMOS Radio Frequency Low Noise Amplifier Rakshith Venkatesh Abstract A 5GHz low power consumption LNA has been designed here for the receiver front end using 90nm CMOS technology.

More information

THERE is large enthusiasm in the consumer market for

THERE is large enthusiasm in the consumer market for IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 12, DECEMBER 1997 2061 A 12-mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Student Member, IEEE,

More information

FOR applications such as implantable cardiac pacemakers,

FOR applications such as implantable cardiac pacemakers, 1576 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 10, OCTOBER 1997 Low-Power MOS Integrated Filter with Transconductors with Spoilt Current Sources M. van de Gevel, J. C. Kuenen, J. Davidse, and

More information

WITH advancements in submicrometer CMOS technology,

WITH advancements in submicrometer CMOS technology, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 3, MARCH 2005 881 A Complementary Colpitts Oscillator in CMOS Technology Choong-Yul Cha, Member, IEEE, and Sang-Gug Lee, Member, IEEE

More information

ATF High Intercept Low Noise Amplifier for the MHz PCS Band using the Enhancement Mode PHEMT

ATF High Intercept Low Noise Amplifier for the MHz PCS Band using the Enhancement Mode PHEMT ATF-54143 High Intercept Low Noise Amplifier for the 185 191 MHz PCS Band using the Enhancement Mode PHEMT Application Note 1222 Introduction Avago Technologies ATF-54143 is a low noise enhancement mode

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

CHAPTER 3 CMOS LOW NOISE AMPLIFIERS

CHAPTER 3 CMOS LOW NOISE AMPLIFIERS 46 CHAPTER 3 CMOS LOW NOISE AMPLIFIERS 3.1 INTRODUCTION The Low Noise Amplifier (LNA) plays an important role in the receiver design. LNA serves as the first block in the RF receiver. It is a critical

More information

A 200-MHz Sub-mA RF Front End for Wireless Hearing Aid Applications

A 200-MHz Sub-mA RF Front End for Wireless Hearing Aid Applications IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 35, NO. 7, JULY 2000 977 A 200-MHz Sub-mA RF Front End for Wireless Hearing Aid Applications Armin Deiss, Student Member, IEEE, Dirk Pfaff, Student Member, IEEE,

More information

Difference between BJTs and FETs. Junction Field Effect Transistors (JFET)

Difference between BJTs and FETs. Junction Field Effect Transistors (JFET) Difference between BJTs and FETs Transistors can be categorized according to their structure, and two of the more commonly known transistor structures, are the BJT and FET. The comparison between BJTs

More information

COMPARISON OF THE MOSFET AND THE BJT:

COMPARISON OF THE MOSFET AND THE BJT: COMPARISON OF THE MOSFET AND THE BJT: In this section we present a comparison of the characteristics of the two major electronic devices: the MOSFET and the BJT. To facilitate this comparison, typical

More information

1 Introduction to Highly Integrated and Tunable RF Receiver Front Ends

1 Introduction to Highly Integrated and Tunable RF Receiver Front Ends 1 Introduction to Highly Integrated and Tunable RF Receiver Front Ends 1.1 Introduction With the ever-increasing demand for instant access to data over wideband communication channels, the quest for a

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2

DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN WITH LATCH NETWORK. Thota Keerthi* 1, Ch. Anil Kumar 2 ISSN 2277-2685 IJESR/October 2014/ Vol-4/Issue-10/682-687 Thota Keerthi et al./ International Journal of Engineering & Science Research DESIGN OF A NOVEL CURRENT MIRROR BASED DIFFERENTIAL AMPLIFIER DESIGN

More information

Unscrambling the power losses in switching boost converters

Unscrambling the power losses in switching boost converters Page 1 of 7 August 18, 2006 Unscrambling the power losses in switching boost converters learn how to effectively balance your use of buck and boost converters and improve the efficiency of your power

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

Transconductance Amplifier Structures With Very Small Transconductances: A Comparative Design Approach

Transconductance Amplifier Structures With Very Small Transconductances: A Comparative Design Approach 770 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 6, JUNE 2002 Transconductance Amplifier Structures With Very Small Transconductances: A Comparative Design Approach Anand Veeravalli, Student Member,

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 Dummy Gate-Assisted n-mosfet Layout for a Radiation-Tolerant Integrated Circuit Min Su Lee and Hee Chul Lee Abstract A dummy gate-assisted

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

The Design of E-band MMIC Amplifiers

The Design of E-band MMIC Amplifiers The Design of E-band MMIC Amplifiers Liam Devlin, Stuart Glynn, Graham Pearson, Andy Dearn * Plextek Ltd, London Road, Great Chesterford, Essex, CB10 1NY, UK; (lmd@plextek.co.uk) Abstract The worldwide

More information

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator*

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator* WP 23.6 A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator* Christopher Lam, Behzad Razavi University of California, Los Angeles, CA New wireless local area network (WLAN) standards have recently emerged

More information

A 5 GHz CMOS Low Power Down-conversion Mixer for Wireless LAN Applications

A 5 GHz CMOS Low Power Down-conversion Mixer for Wireless LAN Applications Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTES, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-, 2006 26 A 5 GHz COS Low Power Down-conversion ixer for Wireless LAN Applications

More information

Application Note 1299

Application Note 1299 A Low Noise High Intercept Point Amplifier for 9 MHz Applications using ATF-54143 PHEMT Application Note 1299 1. Introduction The Avago Technologies ATF-54143 is a low noise enhancement mode PHEMT designed

More information

AN increasing number of video and communication applications

AN increasing number of video and communication applications 1470 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 32, NO. 9, SEPTEMBER 1997 A Low-Power, High-Speed, Current-Feedback Op-Amp with a Novel Class AB High Current Output Stage Jim Bales Abstract A complementary

More information

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5

ISSCC 2006 / SESSION 20 / WLAN/WPAN / 20.5 20.5 An Ultra-Low Power 2.4GHz RF Transceiver for Wireless Sensor Networks in 0.13µm CMOS with 400mV Supply and an Integrated Passive RX Front-End Ben W. Cook, Axel D. Berny, Alyosha Molnar, Steven Lanzisera,

More information

EVALUATION KIT AVAILABLE 10MHz to 1050MHz Integrated RF Oscillator with Buffered Outputs. Typical Operating Circuit. 10nH 1000pF MAX2620 BIAS SUPPLY

EVALUATION KIT AVAILABLE 10MHz to 1050MHz Integrated RF Oscillator with Buffered Outputs. Typical Operating Circuit. 10nH 1000pF MAX2620 BIAS SUPPLY 19-1248; Rev 1; 5/98 EVALUATION KIT AVAILABLE 10MHz to 1050MHz Integrated General Description The combines a low-noise oscillator with two output buffers in a low-cost, plastic surface-mount, ultra-small

More information

RFIC DESIGN EXAMPLE: MIXER

RFIC DESIGN EXAMPLE: MIXER APPENDIX RFI DESIGN EXAMPLE: MIXER The design of radio frequency integrated circuits (RFIs) is relatively complicated, involving many steps as mentioned in hapter 15, from the design of constituent circuit

More information

High Performance ZVS Buck Regulator Removes Barriers To Increased Power Throughput In Wide Input Range Point-Of-Load Applications

High Performance ZVS Buck Regulator Removes Barriers To Increased Power Throughput In Wide Input Range Point-Of-Load Applications WHITE PAPER High Performance ZVS Buck Regulator Removes Barriers To Increased Power Throughput In Wide Input Range Point-Of-Load Applications Written by: C. R. Swartz Principal Engineer, Picor Semiconductor

More information

A 900MHz / 1.8GHz CMOS Receiver for Dual Band Applications*

A 900MHz / 1.8GHz CMOS Receiver for Dual Band Applications* FA 8.2: S. Wu, B. Razavi A 900MHz / 1.8GHz CMOS Receiver for Dual Band Applications* University of California, Los Angeles, CA This dual-band CMOS receiver for GSM and DCS1800 applications incorporates

More information

Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than

Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than LETTER IEICE Electronics Express, Vol.9, No.24, 1813 1822 Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than 40 dbm Donggu Im 1a) and Kwyro Lee 1,2 1 Department of EE, Korea Advanced

More information

EE301 Electronics I , Fall

EE301 Electronics I , Fall EE301 Electronics I 2018-2019, Fall 1. Introduction to Microelectronics (1 Week/3 Hrs.) Introduction, Historical Background, Basic Consepts 2. Rewiev of Semiconductors (1 Week/3 Hrs.) Semiconductor materials

More information

CHAPTER 3. Instrumentation Amplifier (IA) Background. 3.1 Introduction. 3.2 Instrumentation Amplifier Architecture and Configurations

CHAPTER 3. Instrumentation Amplifier (IA) Background. 3.1 Introduction. 3.2 Instrumentation Amplifier Architecture and Configurations CHAPTER 3 Instrumentation Amplifier (IA) Background 3.1 Introduction The IAs are key circuits in many sensor readout systems where, there is a need to amplify small differential signals in the presence

More information

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS

ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS ANALYSIS AND DESIGN OF ANALOG INTEGRATED CIRCUITS Fourth Edition PAUL R. GRAY University of California, Berkeley PAUL J. HURST University of California, Davis STEPHEN H. LEWIS University of California,

More information

ISSCC 2002 / SESSION 17 / ADVANCED RF TECHNIQUES / 17.2

ISSCC 2002 / SESSION 17 / ADVANCED RF TECHNIQUES / 17.2 ISSCC 2002 / SESSION 17 / ADVANCED RF TECHNIQUES / 17.2 17.2 A CMOS Differential Noise-Shifting Colpitts VCO Roberto Aparicio, Ali Hajimiri California Institute of Technology, Pasadena, CA Demand for higher

More information

Yet, many signal processing systems require both digital and analog circuits. To enable

Yet, many signal processing systems require both digital and analog circuits. To enable Introduction Field-Programmable Gate Arrays (FPGAs) have been a superb solution for rapid and reliable prototyping of digital logic systems at low cost for more than twenty years. Yet, many signal processing

More information

Lecture 20: Passive Mixers

Lecture 20: Passive Mixers EECS 142 Lecture 20: Passive Mixers Prof. Ali M. Niknejad University of California, Berkeley Copyright c 2005 by Ali M. Niknejad A. M. Niknejad University of California, Berkeley EECS 142 Lecture 20 p.

More information

Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit

Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 49, NO. 4, AUGUST 2002 1819 Analysis of 1=f Noise in CMOS Preamplifier With CDS Circuit Tae-Hoon Lee, Gyuseong Cho, Hee Joon Kim, Seung Wook Lee, Wanno Lee, and

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

Design and Simulation of Voltage-Mode and Current-Mode Class-D Power Amplifiers for 2.4 GHz Applications

Design and Simulation of Voltage-Mode and Current-Mode Class-D Power Amplifiers for 2.4 GHz Applications Design and Simulation of Voltage-Mode and Current-Mode Class-D Power Amplifiers for 2.4 GHz Applications Armindo António Barão da Silva Pontes Abstract This paper presents the design and simulations of

More information

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers

6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers 6.776 High Speed Communication Circuits Lecture 6 MOS Transistors, Passive Components, Gain- Bandwidth Issue for Broadband Amplifiers Massachusetts Institute of Technology February 17, 2005 Copyright 2005

More information

ECEN 474/704 Lab 6: Differential Pairs

ECEN 474/704 Lab 6: Differential Pairs ECEN 474/704 Lab 6: Differential Pairs Objective Design, simulate and layout various differential pairs used in different types of differential amplifiers such as operational transconductance amplifiers

More information

EE301 Electronics I , Fall

EE301 Electronics I , Fall EE301 Electronics I 2018-2019, Fall 1. Introduction to Microelectronics (1 Week/3 Hrs.) Introduction, Historical Background, Basic Consepts 2. Rewiev of Semiconductors (1 Week/3 Hrs.) Semiconductor materials

More information

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 11.9 A Single-Chip Linear CMOS Power Amplifier for 2.4 GHz WLAN Jongchan Kang 1, Ali Hajimiri 2, Bumman Kim 1 1 Pohang University of Science

More information

Fully integrated CMOS transmitter design considerations

Fully integrated CMOS transmitter design considerations Semiconductor Technology Fully integrated CMOS transmitter design considerations Traditionally, multiple IC chips are needed to build transmitters (Tx) used in wireless communications. The difficulty with

More information

LF to 4 GHz High Linearity Y-Mixer ADL5350

LF to 4 GHz High Linearity Y-Mixer ADL5350 LF to GHz High Linearity Y-Mixer ADL535 FEATURES Broadband radio frequency (RF), intermediate frequency (IF), and local oscillator (LO) ports Conversion loss:. db Noise figure:.5 db High input IP3: 25

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8 26.8 A 2GHz CMOS Variable-Gain Amplifier with 50dB Linear-in-Magnitude Controlled Gain Range for 10GBase-LX4 Ethernet Chia-Hsin Wu, Chang-Shun Liu,

More information

Chapter 13: Introduction to Switched- Capacitor Circuits

Chapter 13: Introduction to Switched- Capacitor Circuits Chapter 13: Introduction to Switched- Capacitor Circuits 13.1 General Considerations 13.2 Sampling Switches 13.3 Switched-Capacitor Amplifiers 13.4 Switched-Capacitor Integrator 13.5 Switched-Capacitor

More information

L AND S BAND TUNABLE FILTERS PROVIDE DRAMATIC IMPROVEMENTS IN TELEMETRY SYSTEMS

L AND S BAND TUNABLE FILTERS PROVIDE DRAMATIC IMPROVEMENTS IN TELEMETRY SYSTEMS L AND S BAND TUNABLE FILTERS PROVIDE DRAMATIC IMPROVEMENTS IN TELEMETRY SYSTEMS Item Type text; Proceedings Authors Wurth, Timothy J.; Rodzinak, Jason Publisher International Foundation for Telemetering

More information

An 8mA, 3.8dB NF, 40dB Gain CMOS Front-End for GPS Applications

An 8mA, 3.8dB NF, 40dB Gain CMOS Front-End for GPS Applications An 8mA, 3.8dB NF, 40dB Gain CMOS Front-End for GPS Applications F. Svelto S. Deantoni, G. Montagna R. Castello Dipartimento di Ingegneria Studio di Microelettronica Dipartimento di Elettronica Università

More information