High aspect ratio silicon structures by Displacement Talbot lithography and Bosch etching

Size: px
Start display at page:

Download "High aspect ratio silicon structures by Displacement Talbot lithography and Bosch etching"

Transcription

1 High aspect ratio silicon structures by Displacement Talbot lithography and Bosch etching Konstantins Jefimovs *a,b, Lucia Romano a,b,c, Joan Vila-Comamala a,b, Matias Kagias a,b, Zhentian Wang a,b, Li Wang d, Christian Dais d, Harun Solak d, Marco Stampanoni a,b a Swiss Light Source, Paul Scherrer Institut, 5232 Villigen PSI, Switzerland; b Institute for Biomedical Engineering, University and ETH Zürich, 8092 Zürich, Switzerland; c Department of Physics and CNR-IMM- University of Catania, 64 via S. Sofia, Catania, Italy; d Eulitha AG, 5416 Kirchdorf, Switzerland *konstantins.jefimovs@psi.ch ABSTRACT Despite the fact that the resolution of conventional contact/proximity lithography can reach feature sizes down to ~ micrometers, the accurate control of the linewidth and uniformity becomes already very challenging for gratings with periods in the range of 1-2 µm. This is particularly relevant for the exposure of large areas and wafers thinner than 300 µm. If the wafer or mask surface is not fully flat due to any kind of defects, such as bowing/warpage or remaining topography of the surface in case of overlay exposures, noticeable linewidth variations or complete failure of lithography step will occur. We utilized the newly developed Displacement Talbot lithography to pattern gratings with equal lines and spaces and periods in the range of 1.0 to 2.4 µm. The exposures in this lithography process do not require contact between the mask and the wafer, which makes it essentially insensitive to surface planarity and enables exposures with very high linewidth uniformity on thin and even slightly deformed wafers. We demonstrated pattern transfer of such exposures into substrates by reactive ion etching using the Bosch process. An etching depth of 30 m or more for the whole range of periods was achieved, which corresponds to very high aspect ratios up to 60:1. The application of the fabricated gratings in phase contrast x-ray imaging is presented. Keywords: Displacement Talbot lithography, high aspect ratio, silicon etching, Bosch process, x-ray interferometry 1. INTRODUCTION Recent development of Displacement Talbot lithography (DTL) [1] proved that this method is very efficient for patterning periodic structures with features ranging from a few micrometers down to sub 100 nanometers. Periodic structures, such as linear gratings or two dimensional arrays of dots that have been printed with DTL, find their application as optical components for photonic crystals, anti-reflection structures, wire-grid polarizers, bio-sensor arrays, plasmonics, spectroscopy etc. While this technology excels in its capability to quickly and reliably print sub-micrometer arrays on a large scale below the resolution of a standard visible and UV lithography, it also shows clear advantages for some lower resolution applications (around one micrometer and above), for which conventional photolithography may also be used. Patterning in this range (1-2 micrometers) by photolithography requires a perfect contact between the mask and the substrate, which means bow and warp free substrates and absence of particles between the contacted surfaces. Yet, even in ideal conditions, the aspect ratio of the structures around one micrometer range becomes limited due to the light diffraction. In comparison, the DTL is essentially a contactless method with theoretically unlimited depth of focus, which solves all the issues mentioned above. Other techniques like steppers or interference lithography would also have such property. However, they would have difficulty with printing gratings without distortion or with exact pitch. An additional advantage of DTL is that it prints gratings with absolute control of pitch and grating phase, defined only by the mask like in case of contact photolithography.

2 In this work, we demonstrate the potential of DTL technology for the fabrication of gratings for x-ray interferometry [2]. The challenge in x-ray gratings fabrication is twofold. On the one hand, the pitch of the gratings should be relatively small typically, a few micrometers or even in sub-micrometer range. On the other hand, the lines forming the gratings must have high aspect ratio to provide sufficient phase modulation or absorption of x-rays. We demonstrate the production of gratings with pitches from 1.0 to 2.4 µm exposed by DTL technology and etched into silicon by the Bosch process to depths of more than 30 m, which corresponds to aspect ratios up to 60:1. Phase gratings with a pitch of 1.3 m and a height of 24 m (providing -phase shift at 17 kev x-ray energy) were used to build a dual phase grating interferometer for x-ray imaging. Examples of the differential phase contrast and dark-field x-ray images are shown. 2. DISPLACEMENT TALBOT LITHOGRAPHY The Talbot effect is an interference based self-imaging phenomenon of a periodic structure (grating) illuminated by a monochromatic and collimated light beam. Self-images repeat at periodic intervals in the light propagation direction. For a linear grating and collimated light illumination, the Talbot self-images appear and repeat approximately with a Talbot period of 2p 2 /λ, where p is the grating period and λ is the light wavelength. In addition, Talbot sub-images (also called fractional Talbot images) are sometimes formed at distances corresponding to integer fractions of the Talbot period depending on the properties of the grating. An example of a simulated Talbot pattern is shown in Figure 1 (left). While with very accurate positioning between the mask and the substrate, such Talbot self-images have previously been used to print high resolution patterns [4, 5], the DTL method exploits the periodicity of the Talbot pattern along the light propagation direction. In DTL, the distance (or gap) between the mask and the substrate is changed by one or multiple Talbot periods during the exposure in such a way that average intensity is received by the photoresist, as depicted in Figure 1 (middle). As a result, a total dose profile as shown in the Figure 1 (right) is obtained. The displacement over a Talbot period leads to an exposed pattern independent of the absolute value of the gap between the mask and the substrate. nce no contact between the mask and the substrate is anymore required, the technique is compatible with the use of non-flat and thin substrates, as well as thick photoresists. Moreover, this method is much less sensitive to defects or contaminations on the surface of the resist. Depending on the grating specifications, different periodicities can be obtained in the resulting dose profile. The details of the principle of DTL have been published elsewhere [1, 6]. Figure 2 (top) shows the commercially available PhableR 100 system from Eulitha AG (Switzerland) capable of performing DTL exposures using phase-shifting and amplitude-type () masks with high uniformity over large areas. The standard system as used in this work can expose wafers up to 100 mm in diameter. Exposures typically take less than one minute depending on the resist sensitivity and mask type (i.e phase-shifting or amplitude). oss-section and top-down images of various linear gratings with pitches ranging from 2.4 m down to 0.8 m are shown in Figure 2 (lower panels). The SEM images are taken after development of photoresist. Figure 1. A Talbot pattern formed in the vicinity of the mask (left), schematic presentation of the scanning of the substrate during the exposure (middle) and resulting dose profile obtained in the photoresist layer (right). Adapted with permission from Ref. [1].

3 Period 0.8 m Period 1.2 m Period 1.5 m Period 2.4 m Figure 2. A photograph of PhableR100 system from Eulitha AG (top) and SEM images of binary gratings with period ranging from 0.8 to 2.4 m exposed using PhableR100 system and after photoresist development.

4 3. GRATING FABRICATION In order to avoid loses due to absorption in the grating based x-rays interferometer setup, the substrates supporting the gratings should be as thin as possible. However, handling of very thin substrates is challenging due to their fragileness. As a compromise, we have chosen 4-inch double side polished silicon wafers with a thickness of 250 micrometers to fabricate the gratings in our case. After the DTL exposure step and the development of the photoresist layer, the gratings were etched into substrate as schematically shown in Figure 3. First, the pattern is transferred from photoresist into an underlying antireflective coating by reactive ion etching (RIE) in oxygen plasma. Then, the pattern is further transferred into a hard etching mask by RIE in a Cl 2 based process. After etching the residuals of ARC and photoresist layers are removed by RIE in oxygen plasma. Finally, high aspect ratio structures are etched into the substrate using SF 6/C 4F 8 based Bosch process [7]. The Bosch etching step was performed in a Plasmalab100 system from Oxford Plasma Technologies. A 2-4 mm wide region at the edges of the wafers was covered by a clamping ring, which improves the thermal contact between the wafer and the temperature controlled electrode during the etching process. As a result, we achieved uniform gratings over areas with a diameter of about 90 mm. Gratings with pitches from 1.0 µm to 2.4 µm were etched. The goal for the etching step was to demonstrate the structure heights above 30 µm. Achievement of this very high-aspect-ratio and high-resolution structures required careful tuning of all process parameters such as gas flow rates, pressure and RF power. Our results demonstrate the basic capability of the process to achieve this once all the parameters are optimized. Examples of cross-section images taken from the resulting structures are shown in Figure 3. a ARC Photoresist b ARC c d Figure 3. Schematics of the fabrication process: a) exposure and development of photoresist; b) dry etching into underlying antireflective coating; c) dry etching into hard mask; d) Bosch etching of substrate.

5 a b c d Figure 4. Examples of SEM cross-section view of the gratings in produced by a combination of displacement Talbot lithography and Bosch process. Grating period (p) and heights (h): a) p=1.0 µm, h=30 µm; b) p=1.5 µm, h=38 µm; c) p=2.0 µm, h=42 µm; d) p=2.4 µm, h=41 µm. Scale bar length in all images is 5 µm. 4. X-RAY IMAGING EXPERIMENTS The fabricated gratings have been successfully applied in a recently developed x-ray interferometer based on two phase shifting gratings that can be used for differential phase contrast as well as dark-field X-ray imaging. A thorough description of the interferometer can be found here [3]. The experimental setup is presented in Figure 5. The first phase grating G 1 generates an intensity distribution due to the Talbot effect (which by coincidence is already discussed above in the context of the principle behind the DTL technique). This intensity distribution is then used as a structured illumination for the second phase grating G 2. By appropriately choosing the distances between the gratings a large pitch

6 fringe pattern can be generated at the detector plane. When a sample is introduced in the beam (just before the first grating G 1) the recorded interference fringes will be affected in three distinct and measurable ways: 1) due to X-ray absorption their average intensity will be reduced; 2) due to refraction their phase will be shifted; and finally 3) due to small X-ray scattering their visibility (modulation) will be reduced as well. Those three signals can be retrieved through Fourier analysis [8]. In order to design a compact system with a large field of view, small grating periods (in the range of 1 μm) are necessary. This is due to the fact that large grating periods lead to large Talbot distances through the relation between the Talbot period and the grating period given above. The effect is quite strong since the distances vary quadratically with the pattern period. We performed imaging experiments to demonstrate the performance of the fabricated gratings. Two identical phase gratings G 1 and G 2 with a pitch of 1.3 μm and grating lines with a height of 24 μm in silicon corresponding to a π phase shift at 17 kev x-ray energy were fabricated. A photograph of the two mm 2 sized gratings is shown at the bottom right of Figure 5. An SEM image of the grating cross section is also shown in the same figure. As a source we used a microfocal x-ray tube from HAMATSU with a W source size of 9.5 μm (at 70 kvp and 100 ma). This small source size provided enough coherence to generate interference from the phase gratings. The generated interference fringes were recorded with the PI-SCX:4300 detector with a pixel size of 24 μm from Princeton Instruments. The design energy of the system was chosen to be 17 kev, and the distance l 1 to 50 cm. Distances, d 1 and l 2 were chosen as the first fractional Talbot distance at the design energy. By setting d 2 = d 1 a symmetrical system design was achieved and d 1 = d 2 was approximately 5 mm. The fringe visibility at this configuration was measured to be 20% at the detector plane. Figure 6 shows one example of the acquired x-ray images of a fish. The sample was placed upstream from the gratings. The absorption, differential phase contrast and dark-field (scattering) images were taken during one acquisition. Sample Figure 5. Schematic presentation of the measurement setup (top); cross-section SEM image (left bottom) of one of the gratings and photograph (right bottom) of two gratings mounted in grating holder. Both gratings have period of 1.3 m, lines height of 24 m, and the size of 55x75 mm 2. Scale bar length is 10 m.

7 Figure 6. Absorption (left), differential phase (center) and dark-field (right) x-ray images of a fish. 5. CONCLUSIONS Our results demonstrate the fabrication of large-area, uniform silicon gratings with pitches in the range of µm at an affordable cost with the use of the Displacement Talbot lithography technology for patterning and the Bosch process for silicon etching. The quality of the printed patterns in terms of uniformity and smoothness are enabling factors in achievement of the required high aspect ratio over large areas. Such gratings are key components that enable construction of compact systems for phase contrast X-ray imaging with a wide-ranging application potential in medicine, biology and material science. ACKNOWLEDGEMENTS Authors would like to thank the staff of TOMCAT group and Laboratory of Micro and Nanotechnology at Paul Scherrer Institut for discussions and technical support. The work was partially funded by the ERC-2012-STG PhaseX grant, ERC-PoC MAGIC grant and the Fondazione Araldi Guinetti. We would like to thank M. Bednarzik, C. Wild, D. Marty, V. Guzenko and C. David from PSI-LMN, T. Steigmeier, G. Mikuljan, and C. Arboleda from PSI- TOMCAT for technical support and valuable discussions. REFERENCES [1] H. Solak, C. Dais, and F. Clube, Opt. Express 19, (2011). [2] F. Pfeiffer, T. Weitkamp, O. Bunk, and C. David, Nature Phys. 2, (2006). [3] M. Kagias, Z. Wang, K. Jefimovs, and M. Stampanoni, Dual phase grating interferometer for tunable darkfield sensitivity, Appl. Phys. Lett. 110, (2017). [4] D. J. Shir, S. Jeon, H. Liao, M. Highland, D. G. Cahill, M. F. Su, I. F. El-Kady, C. G. Christodoulou, G. R. Bogart, A. V. Hamza, and J. A. Rogers, Three-dimensional nanofabrication with elastomeric phase masks, J. Phys. Chem. B 111(45), (2007). [5] D. C. Flanders, A. M. Hawryluk, and H. I. Smith, Spatial period division a new technique for exposing submicrometer linewidth periodic and quasi periodic patterns, J. Vac. Sci. Technol. 16(6), (1979). [6] H. Solak, C. Dais, F. Clube and L. Wang, Phase shifting masks in Displacement Talbot Lithography for printing nano-grids and periodic motifs, Microelectron. Eng. 143 (2015) [7] I.W. Rangelow, itical tasks in high aspect ratio silicon dry etching for microelectromechanical systems, J. Vac. Sci. Technol. A 21 (2003) [8] H. Wen, E.E. Bennet, M.M. Hegedus, and S. Rapacchi, Fourier X-ray scattering radiography yields bone structural information, Radiology 251, , (2009).

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

Review. Optical Lithography. LpR

Review. Optical Lithography.   LpR www.led-professional.com ISSN 1993-890X Review The leading worldwide authority for LED & OLED lighting technology information May/June 2013 Issue 37 LpR Optical Lithography 2 New Optical Lithography Method

More information

Talbot- Lau interferometry with a non- binary phase grating for non-destructive testing

Talbot- Lau interferometry with a non- binary phase grating for non-destructive testing 19 th World Conference on Non-Destructive Testing 2016 Talbot- Lau interferometry with a non- binary phase grating for non-destructive testing Yury SHASHEV 1, Andreas KUPSCH 1, Axel LANGE 1, Ralf BRITZKE

More information

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith

Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg, and Professor Henry I. Smith 9. Interference Lithography Sponsors: National Science Foundation, DMR-0210321; Dupont Agreement 12/10/99 Project Staff: Timothy A. Savas, Michael E. Walsh, Thomas B. O'Reilly, Dr. Mark L. Schattenburg,

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Synthesis of projection lithography for low k1 via interferometry

Synthesis of projection lithography for low k1 via interferometry Synthesis of projection lithography for low k1 via interferometry Frank Cropanese *, Anatoly Bourov, Yongfa Fan, Andrew Estroff, Lena Zavyalova, Bruce W. Smith Center for Nanolithography Research, Rochester

More information

Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality

Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality Design and fabrication of indium phosphide air-bridge waveguides with MEMS functionality Wing H. Ng* a, Nina Podoliak b, Peter Horak b, Jiang Wu a, Huiyun Liu a, William J. Stewart b, and Anthony J. Kenyon

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Supplementary Figure 1 Reflective and refractive behaviors of light with normal

Supplementary Figure 1 Reflective and refractive behaviors of light with normal Supplementary Figures Supplementary Figure 1 Reflective and refractive behaviors of light with normal incidence in a three layer system. E 1 and E r are the complex amplitudes of the incident wave and

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

attosnom I: Topography and Force Images NANOSCOPY APPLICATION NOTE M06 RELATED PRODUCTS G

attosnom I: Topography and Force Images NANOSCOPY APPLICATION NOTE M06 RELATED PRODUCTS G APPLICATION NOTE M06 attosnom I: Topography and Force Images Scanning near-field optical microscopy is the outstanding technique to simultaneously measure the topography and the optical contrast of a sample.

More information

Supplementary information for Stretchable photonic crystal cavity with

Supplementary information for Stretchable photonic crystal cavity with Supplementary information for Stretchable photonic crystal cavity with wide frequency tunability Chun L. Yu, 1,, Hyunwoo Kim, 1, Nathalie de Leon, 1,2 Ian W. Frank, 3 Jacob T. Robinson, 1,! Murray McCutcheon,

More information

A process for, and optical performance of, a low cost Wire Grid Polarizer

A process for, and optical performance of, a low cost Wire Grid Polarizer 1.0 Introduction A process for, and optical performance of, a low cost Wire Grid Polarizer M.P.C.Watts, M. Little, E. Egan, A. Hochbaum, Chad Jones, S. Stephansen Agoura Technology Low angle shadowed deposition

More information

Waveguiding in PMMA photonic crystals

Waveguiding in PMMA photonic crystals ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 12, Number 3, 2009, 308 316 Waveguiding in PMMA photonic crystals Daniela DRAGOMAN 1, Adrian DINESCU 2, Raluca MÜLLER2, Cristian KUSKO 2, Alex.

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Characterization of Surface Structures using THz Radar Techniques with Spatial Beam Filtering and Out-of-Focus Detection

Characterization of Surface Structures using THz Radar Techniques with Spatial Beam Filtering and Out-of-Focus Detection ECNDT 2006 - Tu.2.8.3 Characterization of Surface Structures using THz Radar Techniques with Spatial Beam Filtering and Out-of-Focus Detection Torsten LÖFFLER, Bernd HILS, Hartmut G. ROSKOS, Phys. Inst.

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Optolith 2D Lithography Simulator

Optolith 2D Lithography Simulator 2D Lithography Simulator Advanced 2D Optical Lithography Simulator 4/13/05 Introduction is a powerful non-planar 2D lithography simulator that models all aspects of modern deep sub-micron lithography It

More information

Realization of Polarization-Insensitive Optical Polymer Waveguide Devices

Realization of Polarization-Insensitive Optical Polymer Waveguide Devices 644 Realization of Polarization-Insensitive Optical Polymer Waveguide Devices Kin Seng Chiang,* Sin Yip Cheng, Hau Ping Chan, Qing Liu, Kar Pong Lor, and Chi Kin Chow Department of Electronic Engineering,

More information

Design Rules for Silicon Photonics Prototyping

Design Rules for Silicon Photonics Prototyping Design Rules for licon Photonics Prototyping Version 1 (released February 2008) Introduction IME s Photonics Prototyping Service offers 248nm lithography based fabrication technology for passive licon-on-insulator

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Diffraction-limited performance of flat-substrate reflective imaging gratings patterned by DUV photolithography

Diffraction-limited performance of flat-substrate reflective imaging gratings patterned by DUV photolithography Diffraction-limited performance of flat-substrate reflective imaging gratings patterned by DUV photolithography Christoph M. Greiner, D. Iazikov, and T. W. Mossberg LightSmyth Technologies, 860 W Park

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Quantized patterning using nanoimprinted blanks

Quantized patterning using nanoimprinted blanks IOP PUBLISHING Nanotechnology 20 (2009) 155303 (7pp) Quantized patterning using nanoimprinted blanks NANOTECHNOLOGY doi:10.1088/0957-4484/20/15/155303 Stephen Y Chou 1, Wen-Di Li and Xiaogan Liang NanoStructure

More information

Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths

Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths Diffractive optical elements based on Fourier optical techniques: a new class of optics for extreme ultraviolet and soft x-ray wavelengths Chang Chang, Patrick Naulleau, Erik Anderson, Kristine Rosfjord,

More information

Simple interferometric fringe stabilization by CCD-based feedback control

Simple interferometric fringe stabilization by CCD-based feedback control Simple interferometric fringe stabilization by CCD-based feedback control Preston P. Young and Purnomo S. Priambodo, Department of Electrical Engineering, University of Texas at Arlington, P.O. Box 19016,

More information

Low aberration monolithic diffraction gratings for high performance optical spectrometers

Low aberration monolithic diffraction gratings for high performance optical spectrometers Low aberration monolithic diffraction gratings for high performance optical spectrometers Peter Triebel, Tobias Moeller, Torsten Diehl; Carl Zeiss Spectroscopy GmbH (Germany) Alexandre Gatto, Alexander

More information

Low-cost Interference Lithography

Low-cost Interference Lithography Low-cost Interference Lithography 343 Corey P. Fucetola, Hasan Korre and Karl K. Berggren Research Laboratory of Electronics Massachusetts Institute of Technology, Cambridge, MA, 02139 Abstract We report

More information

Microlens formation using heavily dyed photoresist in a single step

Microlens formation using heavily dyed photoresist in a single step Microlens formation using heavily dyed photoresist in a single step Chris Cox, Curtis Planje, Nick Brakensiek, Zhimin Zhu, Jonathan Mayo Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO 65401, USA ABSTRACT

More information

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD

Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE. Jay Sasserath, PhD Applications of Maskless Lithography for the Production of Large Area Substrates Using the SF-100 ELITE Executive Summary Jay Sasserath, PhD Intelligent Micro Patterning LLC St. Petersburg, Florida Processing

More information

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc.

All-Glass Gray Scale PhotoMasks Enable New Technologies. Che-Kuang (Chuck) Wu Canyon Materials, Inc. All-Glass Gray Scale PhotoMasks Enable New Technologies Che-Kuang (Chuck) Wu Canyon Materials, Inc. 1 Overview All-Glass Gray Scale Photomask technologies include: HEBS-glasses and LDW-glasses HEBS-glass

More information

Two step process for the fabrication of diffraction limited concave microlens arrays

Two step process for the fabrication of diffraction limited concave microlens arrays Two step process for the fabrication of diffraction limited concave microlens arrays Patrick Ruffieux 1*, Toralf Scharf 1, Irène Philipoussis 1, Hans Peter Herzig 1, Reinhard Voelkel 2, and Kenneth J.

More information

Precision microcomb design and fabrication for x-ray optics assembly

Precision microcomb design and fabrication for x-ray optics assembly Precision microcomb design and fabrication for x-ray optics assembly Yanxia Sun, a) Ralf K. Heilmann, b) Carl G. Chen, Craig R. Forest, and Mark L. Schattenburg Space Nanotechnology Laboratory, Center

More information

Fabrication of large grating by monitoring the latent fringe pattern

Fabrication of large grating by monitoring the latent fringe pattern Fabrication of large grating by monitoring the latent fringe pattern Lijiang Zeng a, Lei Shi b, and Lifeng Li c State Key Laboratory of Precision Measurement Technology and Instruments Department of Precision

More information

Copyright 2000 Society of Photo Instrumentation Engineers.

Copyright 2000 Society of Photo Instrumentation Engineers. Copyright 2000 Society of Photo Instrumentation Engineers. This paper was published in SPIE Proceedings, Volume 4043 and is made available as an electronic reprint with permission of SPIE. One print or

More information

Zone-plate-array lithography using synchrotron radiation

Zone-plate-array lithography using synchrotron radiation Zone-plate-array lithography using synchrotron radiation A. Pépin, a) D. Decanini, and Y. Chen Laboratoire de Microstructures et de Microélectronique (L2M), CNRS, 196 avenue Henri-Ravéra, 92225 Bagneux,

More information

Department of Astronomy, Graduate School of Science, the University of Tokyo, Hongo, Bunkyo-ku, Tokyo , Japan;

Department of Astronomy, Graduate School of Science, the University of Tokyo, Hongo, Bunkyo-ku, Tokyo , Japan; Verification of the controllability of refractive index by subwavelength structure fabricated by photolithography: toward single-material mid- and far-infrared multilayer filters Hironobu Makitsubo* a,b,

More information

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics

2. Pulsed Acoustic Microscopy and Picosecond Ultrasonics 1st International Symposium on Laser Ultrasonics: Science, Technology and Applications July 16-18 2008, Montreal, Canada Picosecond Ultrasonic Microscopy of Semiconductor Nanostructures Thomas J GRIMSLEY

More information

Linewidth control by overexposure in laser lithography

Linewidth control by overexposure in laser lithography Optica Applicata, Vol. XXXVIII, No. 2, 2008 Linewidth control by overexposure in laser lithography LIANG YIYONG*, YANG GUOGUANG State Key Laboratory of Modern Optical Instruments, Zhejiang University,

More information

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China.

EUVL Activities in China. Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. EUVL Activities in China Xiangzhao Wang Shanghai Inst. Of Opt. and Fine Mech. Of CAS. (SIOM) Shanghai, China. wxz26267@siom.ac.cn Projection Optics Imaging System Surface Testing Optical Machining ML Coating

More information

Optics Communications

Optics Communications Optics Communications 283 (2010) 3678 3682 Contents lists available at ScienceDirect Optics Communications journal homepage: www.elsevier.com/locate/optcom Ultra-low-loss inverted taper coupler for silicon-on-insulator

More information

Multi-Lateral Shearing Interferometry: Principle and Application on X-ray Laboratory Sources

Multi-Lateral Shearing Interferometry: Principle and Application on X-ray Laboratory Sources Multi-Lateral Shearing Interferometry: Principle and Application on X-ray Laboratory Sources International Symposium on Digital Industrial Radiology and Computed Tomography June 22-25, 2015 Adrien STOLIDI

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Talbot Lithography as an Alternative for Contact Lithography for Submicron Features

Talbot Lithography as an Alternative for Contact Lithography for Submicron Features Talbot Lithography as an Alternative for Contact Lithography for Submicron Features L. A. Dunbar* a, D. Nguyen b, B. Timotijevic a, U. Vogler b, S. Veseli b, G. Bergonzi a, S. Angeloni, A. Bramati b, R.

More information

EUV Interference Lithography in NewSUBARU

EUV Interference Lithography in NewSUBARU EUV Interference Lithography in NewSUBARU Takeo Watanabe 1, Tae Geun Kim 2, Yasuyuki Fukushima 1, Noki Sakagami 1, Teruhiko Kimura 1, Yoshito Kamaji 1, Takafumi Iguchi 1, Yuuya Yamaguchi 1, Masaki Tada

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Shadow Printing Photomask

More information

Wir schaffen Wissen heute für morgen. Multimodal Imaging: Phase Contrast and Dark Field in Scanning Probe Microscopy

Wir schaffen Wissen heute für morgen. Multimodal Imaging: Phase Contrast and Dark Field in Scanning Probe Microscopy Wir schaffen Wissen heute für morgen Paul Scherrer Institut Multimodal Imaging: Phase Contrast and Dark Field in Scanning Probe Microscopy PSI, 2. November 2011 Research is teamwork Coherent X-ray Scattering

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

SENSOR+TEST Conference SENSOR 2009 Proceedings II

SENSOR+TEST Conference SENSOR 2009 Proceedings II B8.4 Optical 3D Measurement of Micro Structures Ettemeyer, Andreas; Marxer, Michael; Keferstein, Claus NTB Interstaatliche Hochschule für Technik Buchs Werdenbergstr. 4, 8471 Buchs, Switzerland Introduction

More information

Spatial-Phase-Shift Imaging Interferometry Using Spectrally Modulated White Light Source

Spatial-Phase-Shift Imaging Interferometry Using Spectrally Modulated White Light Source Spatial-Phase-Shift Imaging Interferometry Using Spectrally Modulated White Light Source Shlomi Epshtein, 1 Alon Harris, 2 Igor Yaacobovitz, 1 Garrett Locketz, 3 Yitzhak Yitzhaky, 4 Yoel Arieli, 5* 1AdOM

More information

Laser Telemetric System (Metrology)

Laser Telemetric System (Metrology) Laser Telemetric System (Metrology) Laser telemetric system is a non-contact gauge that measures with a collimated laser beam (Refer Fig. 10.26). It measure at the rate of 150 scans per second. It basically

More information

Optical MEMS pressure sensor based on a mesa-diaphragm structure

Optical MEMS pressure sensor based on a mesa-diaphragm structure Optical MEMS pressure sensor based on a mesa-diaphragm structure Yixian Ge, Ming WanJ *, and Haitao Yan Jiangsu Key Lab on Opto-Electronic Technology, School of Physical Science and Technology, Nanjing

More information

Optical Waveguide Types

Optical Waveguide Types 8 Refractive Micro Optics Optical Waveguide Types There are two main types of optical waveguide structures: the step index and the graded index. In a step-index waveguide, the interface between the core

More information

Super-resolution imaging through a planar silver layer

Super-resolution imaging through a planar silver layer Super-resolution imaging through a planar silver layer David O. S. Melville and Richard J. Blaikie MacDiarmid Institute for Advanced Materials and Nanotechnology, Department of Electrical and Computer

More information

Doppler writing and linewidth control for scanning beam interference lithography

Doppler writing and linewidth control for scanning beam interference lithography Doppler writing and linewidth control for scanning beam interference lithography Juan C. Montoya, a Chih-Hao Chang, Ralf K. Heilmann, and Mark L. Schattenburg Space Nanotechnology Laboratory, Massachusetts

More information

Process Optimization

Process Optimization Process Optimization Process Flow for non-critical layer optimization START Find the swing curve for the desired resist thickness. Determine the resist thickness (spin speed) from the swing curve and find

More information

LOS 1 LASER OPTICS SET

LOS 1 LASER OPTICS SET LOS 1 LASER OPTICS SET Contents 1 Introduction 3 2 Light interference 5 2.1 Light interference on a thin glass plate 6 2.2 Michelson s interferometer 7 3 Light diffraction 13 3.1 Light diffraction on a

More information

Photonic Crystal Slot Waveguide Spectrometer for Detection of Methane

Photonic Crystal Slot Waveguide Spectrometer for Detection of Methane Photonic Crystal Slot Waveguide Spectrometer for Detection of Methane Swapnajit Chakravarty 1, Wei-Cheng Lai 2, Xiaolong (Alan) Wang 1, Che-Yun Lin 2, Ray T. Chen 1,2 1 Omega Optics, 10306 Sausalito Drive,

More information

Integrated Photonics based on Planar Holographic Bragg Reflectors

Integrated Photonics based on Planar Holographic Bragg Reflectors Integrated Photonics based on Planar Holographic Bragg Reflectors C. Greiner *, D. Iazikov and T. W. Mossberg LightSmyth Technologies, Inc., 86 W. Park St., Ste 25, Eugene, OR 9741 ABSTRACT Integrated

More information

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith 3. Spatial-Phase-Locked Electron-Beam Lithography Sponsors: No external sponsor Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry

More information

High stability multiplexed fibre interferometer and its application on absolute displacement measurement and on-line surface metrology

High stability multiplexed fibre interferometer and its application on absolute displacement measurement and on-line surface metrology High stability multiplexed fibre interferometer and its application on absolute displacement measurement and on-line surface metrology Dejiao Lin, Xiangqian Jiang and Fang Xie Centre for Precision Technologies,

More information

Georgia Tech IEN EBL Facility NNIN Highlights 2014 External User Projects

Georgia Tech IEN EBL Facility NNIN Highlights 2014 External User Projects Georgia Tech IEN EBL Facility NNIN Highlights 2014 External User Projects Silicon based Photonic Crystal Devices Silicon based photonic crystal devices are ultra-small photonic devices that can confine

More information

attocfm I for Surface Quality Inspection NANOSCOPY APPLICATION NOTE M01 RELATED PRODUCTS G

attocfm I for Surface Quality Inspection NANOSCOPY APPLICATION NOTE M01 RELATED PRODUCTS G APPLICATION NOTE M01 attocfm I for Surface Quality Inspection Confocal microscopes work by scanning a tiny light spot on a sample and by measuring the scattered light in the illuminated volume. First,

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

University of Huddersfield Repository

University of Huddersfield Repository University of Huddersfield Repository Gao, F., Muhamedsalih, Hussam and Jiang, Xiang In process fast surface measurement using wavelength scanning interferometry Original Citation Gao, F., Muhamedsalih,

More information

Amphibian XIS: An Immersion Lithography Microstepper Platform

Amphibian XIS: An Immersion Lithography Microstepper Platform Amphibian XIS: An Immersion Lithography Microstepper Platform Bruce W. Smith, Anatoly Bourov, Yongfa Fan, Frank Cropanese, Peter Hammond Rochester Institute of Technology, Microelectronic Engineering Department,

More information

Half-tone proximity lithography

Half-tone proximity lithography Half-tone proximity lithography Torsten Harzendorf* a, Lorenz Stuerzebecher a, Uwe Vogler b, Uwe D. Zeitner a, Reinhard Voelkel b a Fraunhofer Institut für Angewandte Optik und Feinmechanik IOF, Albert

More information

1. INTRODUCTION ABSTRACT

1. INTRODUCTION ABSTRACT Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and non-planar surfaces Michael V. Borisov, Dmitry A.

More information

Innovative Mask Aligner Lithography for MEMS and Packaging

Innovative Mask Aligner Lithography for MEMS and Packaging Innovative Mask Aligner Lithography for MEMS and Packaging Dr. Reinhard Voelkel CEO SUSS MicroOptics SA September 9 th, 2010 1 SUSS Micro-Optics SUSS MicroOptics is a leading supplier for high-quality

More information

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index.

Index. Cambridge University Press Silicon Photonics Design Lukas Chrostowski and Michael Hochberg. Index. absorption, 69 active tuning, 234 alignment, 394 396 apodization, 164 applications, 7 automated optical probe station, 389 397 avalanche detector, 268 back reflection, 164 band structures, 30 bandwidth

More information

Profile Measurement of Resist Surface Using Multi-Array-Probe System

Profile Measurement of Resist Surface Using Multi-Array-Probe System Sensors & Transducers 2014 by IFSA Publishing, S. L. http://www.sensorsportal.com Profile Measurement of Resist Surface Using Multi-Array-Probe System Shujie LIU, Yuanliang ZHANG and Zuolan YUAN School

More information

Characterization of field stitching in electron-beam lithography using moiré metrology

Characterization of field stitching in electron-beam lithography using moiré metrology Characterization of field stitching in electron-beam lithography using moiré metrology T. E. Murphy, a) Mark K. Mondol, and Henry I. Smith Massachusetts Institute of Technology, 60 Vassar Street, Cambridge,

More information

Optical Coherence: Recreation of the Experiment of Thompson and Wolf

Optical Coherence: Recreation of the Experiment of Thompson and Wolf Optical Coherence: Recreation of the Experiment of Thompson and Wolf David Collins Senior project Department of Physics, California Polytechnic State University San Luis Obispo June 2010 Abstract The purpose

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

On-chip interrogation of a silicon-on-insulator microring resonator based ethanol vapor sensor with an arrayed waveguide grating (AWG) spectrometer

On-chip interrogation of a silicon-on-insulator microring resonator based ethanol vapor sensor with an arrayed waveguide grating (AWG) spectrometer On-chip interrogation of a silicon-on-insulator microring resonator based ethanol vapor sensor with an arrayed waveguide grating (AWG) spectrometer Nebiyu A. Yebo* a, Wim Bogaerts, Zeger Hens b,roel Baets

More information

FRAUNHOFER AND FRESNEL DIFFRACTION IN ONE DIMENSION

FRAUNHOFER AND FRESNEL DIFFRACTION IN ONE DIMENSION FRAUNHOFER AND FRESNEL DIFFRACTION IN ONE DIMENSION Revised November 15, 2017 INTRODUCTION The simplest and most commonly described examples of diffraction and interference from two-dimensional apertures

More information

MICROMACHINED INTERFEROMETER FOR MEMS METROLOGY

MICROMACHINED INTERFEROMETER FOR MEMS METROLOGY MICROMACHINED INTERFEROMETER FOR MEMS METROLOGY Byungki Kim, H. Ali Razavi, F. Levent Degertekin, Thomas R. Kurfess G.W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Optically reconfigurable metasurfaces and photonic devices based on phase change materials S1: Schematic diagram of the experimental setup. A Ti-Sapphire femtosecond laser (Coherent Chameleon Vision S)

More information

CHAPTER 2 Principle and Design

CHAPTER 2 Principle and Design CHAPTER 2 Principle and Design The binary and gray-scale microlens will be designed and fabricated. Silicon nitride and photoresist will be taken as the material of the microlens in this thesis. The design

More information

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique

The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique The End of Thresholds: Subwavelength Optical Linewidth Measurement Using the Flux-Area Technique Peter Fiekowsky Automated Visual Inspection, Los Altos, California ABSTRACT The patented Flux-Area technique

More information

Microlens array-based exit pupil expander for full color display applications

Microlens array-based exit pupil expander for full color display applications Proc. SPIE, Vol. 5456, in Photon Management, Strasbourg, France, April 2004 Microlens array-based exit pupil expander for full color display applications Hakan Urey a, Karlton D. Powell b a Optical Microsystems

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

DIY fabrication of microstructures by projection photolithography

DIY fabrication of microstructures by projection photolithography DIY fabrication of microstructures by projection photolithography Andrew Zonenberg Rensselaer Polytechnic Institute 110 8th Street Troy, New York U.S.A. 12180 zonena@cs.rpi.edu April 20, 2011 Abstract

More information

Radial Polarization Converter With LC Driver USER MANUAL

Radial Polarization Converter With LC Driver USER MANUAL ARCoptix Radial Polarization Converter With LC Driver USER MANUAL Arcoptix S.A Ch. Trois-portes 18 2000 Neuchâtel Switzerland Mail: info@arcoptix.com Tel: ++41 32 731 04 66 Principle of the radial polarization

More information

Contact optical nanolithography using nanoscale C-shaped apertures

Contact optical nanolithography using nanoscale C-shaped apertures Contact optical nanolithography using nanoscale C-shaped s Liang Wang, Eric X. Jin, Sreemanth M. Uppuluri, and Xianfan Xu School of Mechanical Engineering, Purdue University, West Lafayette, Indiana 47907

More information

DOE Project: Resist Characterization

DOE Project: Resist Characterization DOE Project: Resist Characterization GOAL To achieve high resolution and adequate throughput, a photoresist must possess relatively high contrast and sensitivity to exposing radiation. The objective of

More information

Optical Requirements

Optical Requirements Optical Requirements Transmission vs. Film Thickness A pellicle needs a good light transmission and long term transmission stability. Transmission depends on the film thickness, film material and any anti-reflective

More information

Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation

Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation 238 Hitachi Review Vol. 65 (2016), No. 7 Featured Articles Measurement of Microscopic Three-dimensional Profiles with High Accuracy and Simple Operation AFM5500M Scanning Probe Microscope Satoshi Hasumura

More information

Application Note #548 AcuityXR Technology Significantly Enhances Lateral Resolution of White-Light Optical Profilers

Application Note #548 AcuityXR Technology Significantly Enhances Lateral Resolution of White-Light Optical Profilers Application Note #548 AcuityXR Technology Significantly Enhances Lateral Resolution of White-Light Optical Profilers ContourGT with AcuityXR TM capability White light interferometry is firmly established

More information

Virtual input device with diffractive optical element

Virtual input device with diffractive optical element Virtual input device with diffractive optical element Ching Chin Wu, Chang Sheng Chu Industrial Technology Research Institute ABSTRACT As a portable device, such as PDA and cell phone, a small size build

More information

BEAM SHAPING OPTICS TO IMPROVE HOLOGRAPHIC AND INTERFEROMETRIC NANOMANUFACTURING TECHNIQUES Paper N405 ABSTRACT

BEAM SHAPING OPTICS TO IMPROVE HOLOGRAPHIC AND INTERFEROMETRIC NANOMANUFACTURING TECHNIQUES Paper N405 ABSTRACT BEAM SHAPING OPTICS TO IMPROVE HOLOGRAPHIC AND INTERFEROMETRIC NANOMANUFACTURING TECHNIQUES Paper N5 Alexander Laskin, Vadim Laskin AdlOptica GmbH, Rudower Chaussee 9, 89 Berlin, Germany ABSTRACT Abstract

More information

Lab Report 3: Speckle Interferometry LIN PEI-YING, BAIG JOVERIA

Lab Report 3: Speckle Interferometry LIN PEI-YING, BAIG JOVERIA Lab Report 3: Speckle Interferometry LIN PEI-YING, BAIG JOVERIA Abstract: Speckle interferometry (SI) has become a complete technique over the past couple of years and is widely used in many branches of

More information

Holography as a tool for advanced learning of optics and photonics

Holography as a tool for advanced learning of optics and photonics Holography as a tool for advanced learning of optics and photonics Victor V. Dyomin, Igor G. Polovtsev, Alexey S. Olshukov Tomsk State University 36 Lenin Avenue, Tomsk, 634050, Russia Tel/fax: 7 3822

More information

Optical nanolithography with k/15 resolution using bowtie aperture array

Optical nanolithography with k/15 resolution using bowtie aperture array Appl. Phys. A DOI 10.1007/s00339-014-8265-y Optical nanolithography with k/15 resolution using bowtie aperture array Xiaolei Wen Luis M. Traverso Pornsak Srisungsitthisunti Xianfan Xu Euclid E. Moon Received:

More information

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links Monolithically integrated InGaAs nanowires on 3D structured silicon-on-insulator as a new platform for full optical links Hyunseok Kim 1, Alan C. Farrell 1, Pradeep Senanayake 1, Wook-Jae Lee 1,* & Diana.

More information

Wir schaffen Wissen heute für morgen

Wir schaffen Wissen heute für morgen Analyzing Wavefront and Spectrum of Hard X-ray Free-Electron Laser Radiation SLS (since 2001) Wir schaffen Wissen heute für morgen PSI: SLAC: SACLA: EuroXFEL: C. David, S. Rutishauser, P. Karvinen, I.

More information